summaryrefslogtreecommitdiffstats
path: root/fpga/hp_lcd_driver/ebaz4205.xdc
diff options
context:
space:
mode:
authorroot <root@new-fish.medaka.james.internal>2025-11-12 22:54:09 +0000
committerroot <root@new-fish.medaka.james.internal>2025-11-12 22:54:09 +0000
commitd584763fed1232f395f5bd33adafba344243bb9f (patch)
treee8c6d9e0fdb2ca34e273afa12f731de08ae0dfd4 /fpga/hp_lcd_driver/ebaz4205.xdc
parent328509b343b38f6479b0006962c1513fb3bc157d (diff)
downloadhp_instrument_lcds-d584763fed1232f395f5bd33adafba344243bb9f.tar.gz
hp_instrument_lcds-d584763fed1232f395f5bd33adafba344243bb9f.tar.bz2
hp_instrument_lcds-d584763fed1232f395f5bd33adafba344243bb9f.zip
working frame buffer
Diffstat (limited to 'fpga/hp_lcd_driver/ebaz4205.xdc')
-rw-r--r--fpga/hp_lcd_driver/ebaz4205.xdc3
1 files changed, 3 insertions, 0 deletions
diff --git a/fpga/hp_lcd_driver/ebaz4205.xdc b/fpga/hp_lcd_driver/ebaz4205.xdc
index 30b073a..39ea882 100644
--- a/fpga/hp_lcd_driver/ebaz4205.xdc
+++ b/fpga/hp_lcd_driver/ebaz4205.xdc
@@ -117,6 +117,9 @@ set_property IOSTANDARD LVCMOS33 [get_ports {hsync_in}]
#set_property PULLTYPE PULLUP [get_ports {sys_rst_n}]
#
+set_property PACKAGE_PIN M20 [get_ports {scope_ch1}]; #data2-19
+set_property IOSTANDARD LVCMOS33 [get_ports {scope_ch1}]
+
create_clock -period 20.000 -name pcie_clkin [get_ports clk_50m]
##set_false_path -from [get_ports pci_exp_rst_n]
#