summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorJames McKenzie <root@ka-ata-killa.panaceas.james.local>2025-05-01 00:01:51 +0100
committerJames McKenzie <root@ka-ata-killa.panaceas.james.local>2025-05-01 00:01:51 +0100
commitd27f19b99a155bc9a758776426e16c39dfec1ff4 (patch)
treedc612ff4424ed3f1319e614a01aca64885be2fb2
parent9486ded473236e49d70faa9598e355291e9e1f52 (diff)
downloadhp_instrument_lcds-d27f19b99a155bc9a758776426e16c39dfec1ff4.tar.gz
hp_instrument_lcds-d27f19b99a155bc9a758776426e16c39dfec1ff4.tar.bz2
hp_instrument_lcds-d27f19b99a155bc9a758776426e16c39dfec1ff4.zip
tidy up smh-ac415
-rw-r--r--fpga/hp_lcd_driver/clkgen_cyclone4.vhdl49
-rw-r--r--fpga/hp_lcd_driver/clkgen_cyclone4_a_impl.vhdl (renamed from fpga/hp_lcd_driver/input_pll.vhdl)24
-rw-r--r--fpga/hp_lcd_driver/clkgen_cyclone4_b_impl.vhdl (renamed from fpga/hp_lcd_driver/clkgen_cyclone4_impl.vhdl)24
-rw-r--r--fpga/hp_lcd_driver/hp_lcd_driver.vhdl29
-rw-r--r--fpga/hp_lcd_driver/smh-ac415.mk2
-rw-r--r--fpga/smh-ac415/docs/ac415_sch.pdf (renamed from fpga/smh-ac415-fpga/docs/ac415_sch.pdf)0
-rw-r--r--fpga/smh-ac415/examples/01_led/led/led.qpf (renamed from fpga/smh-ac415-fpga/examples/01_led/led/led.qpf)0
-rw-r--r--fpga/smh-ac415/examples/01_led/led/led.qsf (renamed from fpga/smh-ac415-fpga/examples/01_led/led/led.qsf)0
-rw-r--r--fpga/smh-ac415/examples/01_led/led/led.qws (renamed from fpga/smh-ac415-fpga/examples/01_led/led/led.qws)bin1287 -> 1287 bytes
-rw-r--r--fpga/smh-ac415/examples/01_led/led/led.v (renamed from fpga/smh-ac415-fpga/examples/01_led/led/led.v)0
-rw-r--r--fpga/smh-ac415/examples/01_led/实验现象.txt (renamed from fpga/smh-ac415-fpga/examples/01_led/实验现象.txt)0
-rw-r--r--fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.qpf (renamed from fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.qpf)0
-rw-r--r--fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.qsf (renamed from fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.qsf)0
-rw-r--r--fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.qws (renamed from fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.qws)bin1359 -> 1359 bytes
-rw-r--r--fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.v (renamed from fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.v)0
-rw-r--r--fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.v.bak (renamed from fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.v.bak)0
-rw-r--r--fpga/smh-ac415/examples/02_water_rgb/实验现象.txt (renamed from fpga/smh-ac415-fpga/examples/02_water_rgb/实验现象.txt)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/doc/seg_595_static.vsdx (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/doc/seg_595_static.vsdx)bin160248 -> 160248 bytes
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qpf (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qpf)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qsf (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qsf)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qws (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qws)bin3107 -> 3107 bytes
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static.sft (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static.sft)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static.vo (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static.vo)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_0c_slow.vo (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_0c_slow.vo)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_0c_v_slow.sdo (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_0c_v_slow.sdo)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_85c_slow.vo (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_85c_slow.vo)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_85c_v_slow.sdo (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_85c_v_slow.sdo)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_min_1200mv_0c_fast.vo (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_min_1200mv_0c_fast.vo)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_min_1200mv_0c_v_fast.sdo (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_min_1200mv_0c_v_fast.sdo)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_modelsim.xrf (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_modelsim.xrf)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_v.sdo (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_v.sdo)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/hc595_ctrl.v (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/hc595_ctrl.v)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/hc595_ctrl.v.bak (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/hc595_ctrl.v.bak)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/seg_595_static.v (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/seg_595_static.v)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/seg_595_static.v.bak (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/seg_595_static.v.bak)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/seg_static.v (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/seg_static.v)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/seg_static.v.bak (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/seg_static.v.bak)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/smg595_static/sim/tb_seg_595_static.v (renamed from fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/sim/tb_seg_595_static.v)0
-rw-r--r--fpga/smh-ac415/examples/03_smg595/实验现象.txt (renamed from fpga/smh-ac415-fpga/examples/03_smg595/实验现象.txt)0
-rw-r--r--fpga/smh-ac415/examples/04_touch/touch/touch.qpf (renamed from fpga/smh-ac415-fpga/examples/04_touch/touch/touch.qpf)0
-rw-r--r--fpga/smh-ac415/examples/04_touch/touch/touch.qsf (renamed from fpga/smh-ac415-fpga/examples/04_touch/touch/touch.qsf)0
-rw-r--r--fpga/smh-ac415/examples/04_touch/touch/touch.qws (renamed from fpga/smh-ac415-fpga/examples/04_touch/touch/touch.qws)bin1846 -> 1846 bytes
-rw-r--r--fpga/smh-ac415/examples/04_touch/touch/touch.v (renamed from fpga/smh-ac415-fpga/examples/04_touch/touch/touch.v)0
-rw-r--r--fpga/smh-ac415/examples/04_touch/实验现象.txt (renamed from fpga/smh-ac415-fpga/examples/04_touch/实验现象.txt)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/doc/rs232.vsdx (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/doc/rs232.vsdx)bin547632 -> 547632 bytes
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/rs232.qpf (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/rs232.qpf)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/rs232.qsf (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/rs232.qsf)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/rs232.qws (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/rs232.qws)bin1327 -> 1327 bytes
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/rs232_assignment_defaults.qdf (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/rs232_assignment_defaults.qdf)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232.sft (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232.sft)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232.vo (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232.vo)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_0c_slow.vo (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_0c_slow.vo)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_0c_v_slow.sdo (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_0c_v_slow.sdo)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_85c_slow.vo (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_85c_slow.vo)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_85c_v_slow.sdo (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_85c_v_slow.sdo)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_min_1200mv_0c_fast.vo (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_min_1200mv_0c_fast.vo)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_min_1200mv_0c_v_fast.sdo (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_min_1200mv_0c_v_fast.sdo)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_modelsim.xrf (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_modelsim.xrf)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_v.sdo (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_v.sdo)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/rtl/rs232.v (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/rtl/rs232.v)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/rtl/uart_rx.v (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/rtl/uart_rx.v)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/rtl/uart_tx.v (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/rtl/uart_tx.v)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/sim/tb_rs232.v (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/sim/tb_rs232.v)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/sim/tb_uart_rx.v (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/sim/tb_uart_rx.v)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/rs232/sim/tb_uart_tx.v (renamed from fpga/smh-ac415-fpga/examples/05_rs232/rs232/sim/tb_uart_tx.v)0
-rw-r--r--fpga/smh-ac415/examples/05_rs232/实验现象.txt (renamed from fpga/smh-ac415-fpga/examples/05_rs232/实验现象.txt)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/doc/data.txt (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/doc/data.txt)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/doc/uart_sd.vsdx (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/doc/uart_sd.vsdx)bin952895 -> 952895 bytes
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/PLLJ_PLLSPE_INFO.txt (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/PLLJ_PLLSPE_INFO.txt)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.ppf (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.ppf)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.qip (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.qip)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen_bb.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen_bb.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen_inst.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen_inst.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data.qip (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data.qip)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data_bb.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data_bb.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data_inst.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data_inst.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/greybox_tmp/cbx_args.txt (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/greybox_tmp/cbx_args.txt)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data.qip (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data.qip)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data_bb.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data_bb.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data_inst.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data_inst.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/greybox_tmp/cbx_args.txt (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/greybox_tmp/cbx_args.txt)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd.sft (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd.sft)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd.vo (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd.vo)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_0c_slow.vo (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_0c_slow.vo)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_0c_v_slow.sdo (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_0c_v_slow.sdo)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_85c_slow.vo (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_85c_slow.vo)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_85c_v_slow.sdo (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_85c_v_slow.sdo)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_min_1200mv_0c_fast.vo (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_min_1200mv_0c_fast.vo)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_min_1200mv_0c_v_fast.sdo (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_min_1200mv_0c_v_fast.sdo)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_modelsim.xrf (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_modelsim.xrf)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_v.sdo (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_v.sdo)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/stp/clk_gen.qip (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/stp/clk_gen.qip)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/stp/greybox_tmp/cbx_args.txt (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/stp/greybox_tmp/cbx_args.txt)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qpf (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qpf)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qsf (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qsf)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qws (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qws)bin1351 -> 1351 bytes
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd_assignment_defaults.qdf (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd_assignment_defaults.qdf)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/data_rw_ctrl.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/data_rw_ctrl.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_ctrl.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_ctrl.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_init.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_init.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_init.v.bak (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_init.v.bak)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_read.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_read.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_write.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_write.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/uart_rx.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/uart_rx.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/uart_sd.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/uart_sd.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/uart_tx.v (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/uart_tx.v)0
-rw-r--r--fpga/smh-ac415/examples/06_uart_sd/实验现象.txt (renamed from fpga/smh-ac415-fpga/examples/06_uart_sd/实验现象.txt)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/doc/VESA VGA时序标准.pdf (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/doc/VESA VGA时序标准.pdf)bin1086239 -> 1086239 bytes
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/doc/hdmi_colorbar.vsdx (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/doc/hdmi_colorbar.vsdx)bin807294 -> 807294 bytes
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ddio_out.qip (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ddio_out.qip)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qpf (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qpf)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar_assignment_defaults.qdf (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar_assignment_defaults.qdf)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/clk_gen.qip (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/clk_gen.qip)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.bsf (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.bsf)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.cmp (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.cmp)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.inc (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.inc)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.ppf (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.ppf)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_bb.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_bb.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_inst.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_inst.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/greybox_tmp/cbx_args.txt (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/greybox_tmp/cbx_args.txt)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/pll.qip (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/pll.qip)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/hdmi/encode.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/encode.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/hdmi_colorbar.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi_colorbar.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/vga_ctrl.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_ctrl.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/vga_pic.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_pic.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v)0
-rw-r--r--fpga/smh-ac415/examples/07_hdmi/实验现象.txt (renamed from fpga/smh-ac415-fpga/examples/07_hdmi/实验现象.txt)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/doc/IS42S116160.pdf (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/doc/IS42S116160.pdf)bin865246 -> 865246 bytes
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/doc/ML0006 0012-2.pdf (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/doc/ML0006 0012-2.pdf)bin472487 -> 472487 bytes
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/doc/W9825G6DH-6-datasheet.pdf (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/doc/W9825G6DH-6-datasheet.pdf)bin1768339 -> 1768339 bytes
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/doc/uart_sdram.vsdx (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/doc/uart_sdram.vsdx)bin845557 -> 845557 bytes
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/matlab/tast_data.m (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/matlab/tast_data.m)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/matlab/test_data.txt (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/matlab/test_data.txt)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/PLLJ_PLLSPE_INFO.txt (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/PLLJ_PLLSPE_INFO.txt)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.ppf (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.ppf)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.qip (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.qip)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen_bb.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen_bb.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen_inst.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen_inst.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/greybox_tmp/cbx_args.txt (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/greybox_tmp/cbx_args.txt)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data.qip (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data.qip)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data_bb.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data_bb.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data_inst.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data_inst.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/greybox_tmp/cbx_args.txt (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/greybox_tmp/cbx_args.txt)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read.qip (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read.qip)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read_bb.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read_bb.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read_inst.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read_inst.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/greybox_tmp/cbx_args.txt (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/greybox_tmp/cbx_args.txt)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/greybox_tmp/cbx_args.txt (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/greybox_tmp/cbx_args.txt)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo.qip (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo.qip)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo_bb.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo_bb.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo_inst.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo_inst.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram.sft (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram.sft)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram.vo (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram.vo)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_0c_slow.vo (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_0c_slow.vo)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_0c_v_slow.sdo (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_0c_v_slow.sdo)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_85c_slow.vo (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_85c_slow.vo)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_85c_v_slow.sdo (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_85c_v_slow.sdo)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_min_1200mv_0c_fast.vo (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_min_1200mv_0c_fast.vo)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_min_1200mv_0c_v_fast.sdo (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_min_1200mv_0c_v_fast.sdo)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_modelsim.xrf (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_modelsim.xrf)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_v.sdo (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_v.sdo)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qpf (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qpf)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qsf (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qsf)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qws (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qws)bin1420 -> 1420 bytes
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/fifo_read.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/fifo_read.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/fifo_ctrl.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/fifo_ctrl.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_a_ref.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_a_ref.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_arbit.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_arbit.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_ctrl.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_ctrl.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_init.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_init.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_read.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_read.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_top.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_top.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_write.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_write.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/uart_rx.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/uart_rx.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/uart_sdram.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/uart_sdram.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/uart_tx.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/uart_tx.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/sdram_model_plus.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/sdram_model_plus.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_a_ref/sdram_model_plus.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_a_ref/sdram_model_plus.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_a_ref/tb_sdram_a_ref.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_a_ref/tb_sdram_a_ref.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_ctrl/sdram_model_plus.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_ctrl/sdram_model_plus.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_ctrl/tb_sdram_ctrl.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_ctrl/tb_sdram_ctrl.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_init/sdram_model_plus.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_init/sdram_model_plus.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_init/tb_sdram_init.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_init/tb_sdram_init.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_read/sdram_model_plus.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_read/sdram_model_plus.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_read/tb_sdram_read.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_read/tb_sdram_read.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_top/sdram_model_plus.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_top/sdram_model_plus.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_top/tb_sdram_top.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_top/tb_sdram_top.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_write/sdram_model_plus.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_write/sdram_model_plus.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_write/tb_sdram_write.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_write/tb_sdram_write.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_uart_sdram.v (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_uart_sdram.v)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/test_data.txt (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/test_data.txt)0
-rw-r--r--fpga/smh-ac415/examples/08_uart_sdram/实验现象.txt (renamed from fpga/smh-ac415-fpga/examples/08_uart_sdram/实验现象.txt)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/doc/VESA VGA时序标准.pdf (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/doc/VESA VGA时序标准.pdf)bin1086239 -> 1086239 bytes
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/doc/vga_colorbar.vsdx (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/doc/vga_colorbar.vsdx)bin262875 -> 262875 bytes
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/PLLJ_PLLSPE_INFO.txt (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/PLLJ_PLLSPE_INFO.txt)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.ppf (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.ppf)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.qip (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.qip)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.v (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.v)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen_bb.v (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen_bb.v)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen_inst.v (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen_inst.v)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.sft (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.sft)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.vo (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.vo)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_slow.vo (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_slow.vo)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_v_slow.sdo (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_v_slow.sdo)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_slow.vo (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_slow.vo)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_v_slow.sdo (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_v_slow.sdo)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_fast.vo (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_fast.vo)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_v_fast.sdo (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_v_fast.sdo)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_modelsim.xrf (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_modelsim.xrf)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_v.sdo (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_v.sdo)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/vga_colorbar.qpf (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qpf)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/quartus_prj/vga_colorbar.qsf (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qsf)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/rtl/vga_colorbar.v (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/rtl/vga_colorbar.v)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/rtl/vga_ctrl.v (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/rtl/vga_ctrl.v)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/rtl/vga_pic.v (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/rtl/vga_pic.v)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/sim/tb_vga_colorbar.v (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/sim/tb_vga_colorbar.v)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/vga/sim/tb_vga_ctrl.v (renamed from fpga/smh-ac415-fpga/examples/09_vga/vga/sim/tb_vga_ctrl.v)0
-rw-r--r--fpga/smh-ac415/examples/09_vga/实验现象.txt (renamed from fpga/smh-ac415-fpga/examples/09_vga/实验现象.txt)0
250 files changed, 59 insertions, 69 deletions
diff --git a/fpga/hp_lcd_driver/clkgen_cyclone4.vhdl b/fpga/hp_lcd_driver/clkgen_cyclone4.vhdl
index 0c5b28f..3309d00 100644
--- a/fpga/hp_lcd_driver/clkgen_cyclone4.vhdl
+++ b/fpga/hp_lcd_driver/clkgen_cyclone4.vhdl
@@ -17,45 +17,42 @@ end clkgen;
architecture Behavioural of clkgen is
signal clkfbout : std_logic;
- signal clk_100m : std_logic;
- signal clk_80m : std_logic;
- signal clk_40m : std_logic;
- signal clk_20m : std_logic;
+ signal clk_120m : std_logic;
+ signal clk_78_642m : std_logic;
+ signal clk_48m : std_logic;
+ signal clk_24m : std_logic;
signal pll_locked : std_logic;
signal reset : std_logic;
begin
- clkgen_impl0 : entity work.clkgen_cyclone4_impl
+ clkgen_a : entity work.clkgen_cyclone4_a_impl
port map (
areset => not sys_rst_n,
inclk0 => clk_in,
- c0 => clk_100m,
- c1 => clk_80m,
- c2 => clk_40m,
- c3 => clk_20m,
- locked => pll_locked);
+ c0 => clk_78_642m,
+ locked => open);
+
- o_clk <= clk_20m;
--- o_clk_buf : BUFG port map (
--- I => clk_20m,
--- O => o_clk);
---
+ i_clk <= clk_78_642m;
+
+ clkgen_b : entity work.clkgen_cyclone4_b_impl
+ port map (
+ areset => not sys_rst_n,
+ inclk0 => clk_in,
+ c0 => clk_120m,
+ c1 => open,
+ c2 => clk_48m,
+ c3 => clk_24m,
+ locked => pll_locked);
- o_clk_x2 <= clk_40m;
+ o_clk <= clk_24m;
--- o_clk_x2_buf : BUFG port map (
--- I => clk_40m,
--- O => o_clk_x2);
---
+ -- not used in the cyclone design
+ o_clk_x2 <= clk_48m;
- i_clk <= clk_80m;
--- i_clk_buf : BUFG port map (
--- I => clk_80m,
--- O => i_clk);
---
- o_clk_phy <= clk_100m;
+ o_clk_phy <= clk_120m;
locked <= pll_locked;
diff --git a/fpga/hp_lcd_driver/input_pll.vhdl b/fpga/hp_lcd_driver/clkgen_cyclone4_a_impl.vhdl
index 8c877af..a5bcb9d 100644
--- a/fpga/hp_lcd_driver/input_pll.vhdl
+++ b/fpga/hp_lcd_driver/clkgen_cyclone4_a_impl.vhdl
@@ -4,7 +4,7 @@
-- MODULE: altpll
-- ============================================================
--- File Name: input_pll.vhd
+-- File Name: clkgen_cyclone4_a_impl.vhd
-- Megafunction Name(s):
-- altpll
--
@@ -39,7 +39,7 @@ USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
-ENTITY input_pll IS
+ENTITY clkgen_cyclone4_a_impl IS
PORT
(
areset : IN STD_LOGIC := '0';
@@ -47,10 +47,10 @@ ENTITY input_pll IS
c0 : OUT STD_LOGIC ;
locked : OUT STD_LOGIC
);
-END input_pll;
+END clkgen_cyclone4_a_impl;
-ARCHITECTURE SYN OF input_pll IS
+ARCHITECTURE SYN OF clkgen_cyclone4_a_impl IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (4 DOWNTO 0);
@@ -147,7 +147,7 @@ BEGIN
compensate_clock => "CLK0",
inclk0_input_frequency => 20000,
intended_device_family => "Cyclone IV E",
- lpm_hint => "CBX_MODULE_PREFIX=input_pll",
+ lpm_hint => "CBX_MODULE_PREFIX=clkgen_cyclone4_a_impl",
lpm_type => "altpll",
operation_mode => "NORMAL",
pll_type => "AUTO",
@@ -270,7 +270,7 @@ END SYN;
-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
--- Retrieval info: PRIVATE: RECONFIG_FILE STRING "input_pll.mif"
+-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "clkgen_cyclone4_a_impl.mif"
-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "1"
@@ -355,11 +355,11 @@ END SYN;
-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
-- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
--- Retrieval info: GEN_FILE: TYPE_NORMAL input_pll.vhd TRUE
--- Retrieval info: GEN_FILE: TYPE_NORMAL input_pll.ppf TRUE
--- Retrieval info: GEN_FILE: TYPE_NORMAL input_pll.inc FALSE
--- Retrieval info: GEN_FILE: TYPE_NORMAL input_pll.cmp TRUE
--- Retrieval info: GEN_FILE: TYPE_NORMAL input_pll.bsf FALSE
--- Retrieval info: GEN_FILE: TYPE_NORMAL input_pll_inst.vhd FALSE
+-- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_a_impl.vhd TRUE
+-- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_a_impl.ppf TRUE
+-- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_a_impl.inc FALSE
+-- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_a_impl.cmp TRUE
+-- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_a_impl.bsf FALSE
+-- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_a_impl_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
-- Retrieval info: CBX_MODULE_PREFIX: ON
diff --git a/fpga/hp_lcd_driver/clkgen_cyclone4_impl.vhdl b/fpga/hp_lcd_driver/clkgen_cyclone4_b_impl.vhdl
index 5a60e85..9a51b3a 100644
--- a/fpga/hp_lcd_driver/clkgen_cyclone4_impl.vhdl
+++ b/fpga/hp_lcd_driver/clkgen_cyclone4_b_impl.vhdl
@@ -4,7 +4,7 @@
-- MODULE: altpll
-- ============================================================
--- File Name: clkgen_cyclone4_impl.vhd
+-- File Name: clkgen_cyclone4_b_impl.vhd
-- Megafunction Name(s):
-- altpll
--
@@ -39,7 +39,7 @@ USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
-ENTITY clkgen_cyclone4_impl IS
+ENTITY clkgen_cyclone4_b_impl IS
PORT
(
areset : IN STD_LOGIC := '0';
@@ -50,10 +50,10 @@ ENTITY clkgen_cyclone4_impl IS
c3 : OUT STD_LOGIC ;
locked : OUT STD_LOGIC
);
-END clkgen_cyclone4_impl;
+END clkgen_cyclone4_b_impl;
-ARCHITECTURE SYN OF clkgen_cyclone4_impl IS
+ARCHITECTURE SYN OF clkgen_cyclone4_b_impl IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC ;
@@ -183,7 +183,7 @@ BEGIN
compensate_clock => "CLK0",
inclk0_input_frequency => 20000,
intended_device_family => "Cyclone IV E",
- lpm_hint => "CBX_MODULE_PREFIX=clkgen_cyclone4_impl",
+ lpm_hint => "CBX_MODULE_PREFIX=clkgen_cyclone4_b_impl",
lpm_type => "altpll",
operation_mode => "NORMAL",
pll_type => "AUTO",
@@ -339,7 +339,7 @@ END SYN;
-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
--- Retrieval info: PRIVATE: RECONFIG_FILE STRING "clkgen_cyclone4_impl.mif"
+-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "clkgen_cyclone4_b_impl.mif"
-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "1"
@@ -451,11 +451,11 @@ END SYN;
-- Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
-- Retrieval info: CONNECT: c3 0 0 0 0 @clk 0 0 1 3
-- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
--- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_impl.vhd TRUE
--- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_impl.ppf TRUE
--- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_impl.inc FALSE
--- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_impl.cmp TRUE
--- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_impl.bsf FALSE
--- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_impl_inst.vhd FALSE
+-- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_b_impl.vhd TRUE
+-- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_b_impl.ppf TRUE
+-- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_b_impl.inc FALSE
+-- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_b_impl.cmp TRUE
+-- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_b_impl.bsf FALSE
+-- Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_cyclone4_b_impl_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
-- Retrieval info: CBX_MODULE_PREFIX: ON
diff --git a/fpga/hp_lcd_driver/hp_lcd_driver.vhdl b/fpga/hp_lcd_driver/hp_lcd_driver.vhdl
index a8cf948..e1625ba 100644
--- a/fpga/hp_lcd_driver/hp_lcd_driver.vhdl
+++ b/fpga/hp_lcd_driver/hp_lcd_driver.vhdl
@@ -63,17 +63,22 @@ begin
-- clocking:
--- i_clk is 4*20MHz to give us 4 choices of sampling position
+-- i_clk is 4*(nominal) 20MHz to give us 4 choices of sampling position
-- o_clk is the output pixel clock
-- o_clk_x2 is used by the spartan serdes
-- o_clk_phy is used the the hdmi phy (cylone4 it's o_clk x5, spartan 6 it's o_clk x 10)
- i_clkgen : entity work.input_pll
+ clkgen : entity work.clkgen
port map (
- areset => open,
- inclk0 => clk_50m,
- c0 => i_clk,
- locked => open);
+ sys_rst_n => sys_rst_n,
+ clk_in => clk_50m,
+ i_clk => i_clk,
+ o_clk => o_clk,
+ o_clk_x2 => o_clk_x2,
+ o_clk_phy => o_clk_phy,
+ locked => clk_locked
+ );
+
process (i_clk, sys_rst_n)
@@ -105,18 +110,6 @@ begin
i_clk_out <= epk;
- clkgen : entity work.clkgen
- port map (
- sys_rst_n => sys_rst_n,
- clk_in => clk_50m,
- i_clk => open,
- o_clk => o_clk,
- o_clk_x2 => o_clk_x2,
- o_clk_phy => o_clk_phy,
- locked => clk_locked
- );
-
- --i_clk_out <= i_clk;
input0 : entity work.input_stage
generic map(
diff --git a/fpga/hp_lcd_driver/smh-ac415.mk b/fpga/hp_lcd_driver/smh-ac415.mk
index 52e23e7..0d37eb7 100644
--- a/fpga/hp_lcd_driver/smh-ac415.mk
+++ b/fpga/hp_lcd_driver/smh-ac415.mk
@@ -9,7 +9,7 @@ OF=output_files
PROJECT = hp_lcd_driver
VSRCS =synchronizer.vhdl debounce.vhdl edge_det.vhdl input_formatter.vhdl input_stage.vhdl output_formatter.vhdl output_analog.vhdl tmds_encoder.vhdl tmds_encode.vhdl tmds_phy_cyclone4.vhdl tmds_output_cyclone4.vhdl output_stage.vhdl clkgen_cyclone4.vhdl vram_cyclone4.vhdl hp_lcd_driver.vhdl
-IPS= vram_cyclone4_impl.vhdl clkgen_cyclone4_impl.vhdl input_pll.vhdl
+IPS= vram_cyclone4_impl.vhdl clkgen_cyclone4_a_impl.vhdl clkgen_cyclone4_b_impl.vhdl
DESIGN_NAME=${TOP}
diff --git a/fpga/smh-ac415-fpga/docs/ac415_sch.pdf b/fpga/smh-ac415/docs/ac415_sch.pdf
index 0c004f0..0c004f0 100644
--- a/fpga/smh-ac415-fpga/docs/ac415_sch.pdf
+++ b/fpga/smh-ac415/docs/ac415_sch.pdf
diff --git a/fpga/smh-ac415-fpga/examples/01_led/led/led.qpf b/fpga/smh-ac415/examples/01_led/led/led.qpf
index 5592af6..5592af6 100644
--- a/fpga/smh-ac415-fpga/examples/01_led/led/led.qpf
+++ b/fpga/smh-ac415/examples/01_led/led/led.qpf
diff --git a/fpga/smh-ac415-fpga/examples/01_led/led/led.qsf b/fpga/smh-ac415/examples/01_led/led/led.qsf
index 1b5ae22..1b5ae22 100644
--- a/fpga/smh-ac415-fpga/examples/01_led/led/led.qsf
+++ b/fpga/smh-ac415/examples/01_led/led/led.qsf
diff --git a/fpga/smh-ac415-fpga/examples/01_led/led/led.qws b/fpga/smh-ac415/examples/01_led/led/led.qws
index 5433526..5433526 100644
--- a/fpga/smh-ac415-fpga/examples/01_led/led/led.qws
+++ b/fpga/smh-ac415/examples/01_led/led/led.qws
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/01_led/led/led.v b/fpga/smh-ac415/examples/01_led/led/led.v
index 6c849bc..6c849bc 100644
--- a/fpga/smh-ac415-fpga/examples/01_led/led/led.v
+++ b/fpga/smh-ac415/examples/01_led/led/led.v
diff --git a/fpga/smh-ac415-fpga/examples/01_led/实验现象.txt b/fpga/smh-ac415/examples/01_led/实验现象.txt
index 06a5264..06a5264 100644
--- a/fpga/smh-ac415-fpga/examples/01_led/实验现象.txt
+++ b/fpga/smh-ac415/examples/01_led/实验现象.txt
diff --git a/fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.qpf b/fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.qpf
index 75f7176..75f7176 100644
--- a/fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.qpf
+++ b/fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.qpf
diff --git a/fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.qsf b/fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.qsf
index 1895fba..1895fba 100644
--- a/fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.qsf
+++ b/fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.qsf
diff --git a/fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.qws b/fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.qws
index f52d856..f52d856 100644
--- a/fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.qws
+++ b/fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.qws
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.v b/fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.v
index 3e5789b..3e5789b 100644
--- a/fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.v
+++ b/fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.v
diff --git a/fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.v.bak b/fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.v.bak
index 26b5726..26b5726 100644
--- a/fpga/smh-ac415-fpga/examples/02_water_rgb/water_rgb/water_rgb.v.bak
+++ b/fpga/smh-ac415/examples/02_water_rgb/water_rgb/water_rgb.v.bak
diff --git a/fpga/smh-ac415-fpga/examples/02_water_rgb/实验现象.txt b/fpga/smh-ac415/examples/02_water_rgb/实验现象.txt
index 1bddefc..1bddefc 100644
--- a/fpga/smh-ac415-fpga/examples/02_water_rgb/实验现象.txt
+++ b/fpga/smh-ac415/examples/02_water_rgb/实验现象.txt
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/doc/seg_595_static.vsdx b/fpga/smh-ac415/examples/03_smg595/smg595_static/doc/seg_595_static.vsdx
index 5815b63..5815b63 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/doc/seg_595_static.vsdx
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/doc/seg_595_static.vsdx
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qpf b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qpf
index 7eeffdb..7eeffdb 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qpf
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qpf
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qsf b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qsf
index 6b62eea..6b62eea 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qsf
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qsf
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qws b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qws
index e1ce986..e1ce986 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qws
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/seg_595_static.qws
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static.sft b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static.sft
index e1d89f4..e1d89f4 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static.sft
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static.sft
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static.vo b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static.vo
index 3d4f4c9..3d4f4c9 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static.vo
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static.vo
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_0c_slow.vo b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_0c_slow.vo
index dcc58a1..dcc58a1 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_0c_slow.vo
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_0c_slow.vo
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_0c_v_slow.sdo b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_0c_v_slow.sdo
index 9c35a85..9c35a85 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_0c_v_slow.sdo
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_0c_v_slow.sdo
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_85c_slow.vo b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_85c_slow.vo
index eba81e2..eba81e2 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_85c_slow.vo
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_85c_slow.vo
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_85c_v_slow.sdo b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_85c_v_slow.sdo
index 7f4cf64..7f4cf64 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_85c_v_slow.sdo
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_8_1200mv_85c_v_slow.sdo
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_min_1200mv_0c_fast.vo b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_min_1200mv_0c_fast.vo
index 2a5affc..2a5affc 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_min_1200mv_0c_fast.vo
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_min_1200mv_0c_fast.vo
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_min_1200mv_0c_v_fast.sdo b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_min_1200mv_0c_v_fast.sdo
index 831b7f2..831b7f2 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_min_1200mv_0c_v_fast.sdo
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_min_1200mv_0c_v_fast.sdo
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_modelsim.xrf b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_modelsim.xrf
index ce539a9..ce539a9 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_modelsim.xrf
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_modelsim.xrf
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_v.sdo b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_v.sdo
index 7f4cf64..7f4cf64 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_v.sdo
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/quartus_prj/simulation/modelsim/seg_595_static_v.sdo
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/hc595_ctrl.v b/fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/hc595_ctrl.v
index de9190d..de9190d 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/hc595_ctrl.v
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/hc595_ctrl.v
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/hc595_ctrl.v.bak b/fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/hc595_ctrl.v.bak
index cd9b0e1..cd9b0e1 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/hc595_ctrl.v.bak
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/hc595_ctrl.v.bak
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/seg_595_static.v b/fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/seg_595_static.v
index 04365a7..04365a7 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/seg_595_static.v
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/seg_595_static.v
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/seg_595_static.v.bak b/fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/seg_595_static.v.bak
index 6d07f57..6d07f57 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/seg_595_static.v.bak
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/seg_595_static.v.bak
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/seg_static.v b/fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/seg_static.v
index 062e6ac..062e6ac 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/seg_static.v
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/seg_static.v
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/seg_static.v.bak b/fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/seg_static.v.bak
index 3c1e6fc..3c1e6fc 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/rtl/seg_static.v.bak
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/rtl/seg_static.v.bak
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/sim/tb_seg_595_static.v b/fpga/smh-ac415/examples/03_smg595/smg595_static/sim/tb_seg_595_static.v
index 4fd8ce3..4fd8ce3 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/smg595_static/sim/tb_seg_595_static.v
+++ b/fpga/smh-ac415/examples/03_smg595/smg595_static/sim/tb_seg_595_static.v
diff --git a/fpga/smh-ac415-fpga/examples/03_smg595/实验现象.txt b/fpga/smh-ac415/examples/03_smg595/实验现象.txt
index b9581e2..b9581e2 100644
--- a/fpga/smh-ac415-fpga/examples/03_smg595/实验现象.txt
+++ b/fpga/smh-ac415/examples/03_smg595/实验现象.txt
diff --git a/fpga/smh-ac415-fpga/examples/04_touch/touch/touch.qpf b/fpga/smh-ac415/examples/04_touch/touch/touch.qpf
index 6a3b058..6a3b058 100644
--- a/fpga/smh-ac415-fpga/examples/04_touch/touch/touch.qpf
+++ b/fpga/smh-ac415/examples/04_touch/touch/touch.qpf
diff --git a/fpga/smh-ac415-fpga/examples/04_touch/touch/touch.qsf b/fpga/smh-ac415/examples/04_touch/touch/touch.qsf
index 9bfa3c8..9bfa3c8 100644
--- a/fpga/smh-ac415-fpga/examples/04_touch/touch/touch.qsf
+++ b/fpga/smh-ac415/examples/04_touch/touch/touch.qsf
diff --git a/fpga/smh-ac415-fpga/examples/04_touch/touch/touch.qws b/fpga/smh-ac415/examples/04_touch/touch/touch.qws
index 7232112..7232112 100644
--- a/fpga/smh-ac415-fpga/examples/04_touch/touch/touch.qws
+++ b/fpga/smh-ac415/examples/04_touch/touch/touch.qws
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/04_touch/touch/touch.v b/fpga/smh-ac415/examples/04_touch/touch/touch.v
index dcf9d12..dcf9d12 100644
--- a/fpga/smh-ac415-fpga/examples/04_touch/touch/touch.v
+++ b/fpga/smh-ac415/examples/04_touch/touch/touch.v
diff --git a/fpga/smh-ac415-fpga/examples/04_touch/实验现象.txt b/fpga/smh-ac415/examples/04_touch/实验现象.txt
index 41b7e47..41b7e47 100644
--- a/fpga/smh-ac415-fpga/examples/04_touch/实验现象.txt
+++ b/fpga/smh-ac415/examples/04_touch/实验现象.txt
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/doc/rs232.vsdx b/fpga/smh-ac415/examples/05_rs232/rs232/doc/rs232.vsdx
index 195deeb..195deeb 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/doc/rs232.vsdx
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/doc/rs232.vsdx
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/rs232.qpf b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/rs232.qpf
index 6f228f9..6f228f9 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/rs232.qpf
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/rs232.qpf
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/rs232.qsf b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/rs232.qsf
index 6d675f3..6d675f3 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/rs232.qsf
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/rs232.qsf
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/rs232.qws b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/rs232.qws
index 55fe5d0..55fe5d0 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/rs232.qws
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/rs232.qws
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/rs232_assignment_defaults.qdf b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/rs232_assignment_defaults.qdf
index 3d59196..3d59196 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/rs232_assignment_defaults.qdf
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/rs232_assignment_defaults.qdf
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232.sft b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232.sft
index ad21f32..ad21f32 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232.sft
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232.sft
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232.vo b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232.vo
index 396965f..396965f 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232.vo
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232.vo
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_0c_slow.vo b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_0c_slow.vo
index bba2871..bba2871 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_0c_slow.vo
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_0c_slow.vo
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_0c_v_slow.sdo b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_0c_v_slow.sdo
index 4c542b2..4c542b2 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_0c_v_slow.sdo
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_0c_v_slow.sdo
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_85c_slow.vo b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_85c_slow.vo
index b3f326c..b3f326c 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_85c_slow.vo
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_85c_slow.vo
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_85c_v_slow.sdo b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_85c_v_slow.sdo
index 588ece3..588ece3 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_85c_v_slow.sdo
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_8_1200mv_85c_v_slow.sdo
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_min_1200mv_0c_fast.vo b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_min_1200mv_0c_fast.vo
index c7cf150..c7cf150 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_min_1200mv_0c_fast.vo
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_min_1200mv_0c_fast.vo
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_min_1200mv_0c_v_fast.sdo b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_min_1200mv_0c_v_fast.sdo
index ac7de7e..ac7de7e 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_min_1200mv_0c_v_fast.sdo
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_min_1200mv_0c_v_fast.sdo
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_modelsim.xrf b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_modelsim.xrf
index a2e17de..a2e17de 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_modelsim.xrf
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_modelsim.xrf
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_v.sdo b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_v.sdo
index 588ece3..588ece3 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_v.sdo
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/quartus_prj/simulation/modelsim/rs232_v.sdo
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/rtl/rs232.v b/fpga/smh-ac415/examples/05_rs232/rs232/rtl/rs232.v
index 15f9b9d..15f9b9d 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/rtl/rs232.v
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/rtl/rs232.v
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/rtl/uart_rx.v b/fpga/smh-ac415/examples/05_rs232/rs232/rtl/uart_rx.v
index 5ebbaba..5ebbaba 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/rtl/uart_rx.v
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/rtl/uart_rx.v
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/rtl/uart_tx.v b/fpga/smh-ac415/examples/05_rs232/rs232/rtl/uart_tx.v
index cf80fdf..cf80fdf 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/rtl/uart_tx.v
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/rtl/uart_tx.v
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/sim/tb_rs232.v b/fpga/smh-ac415/examples/05_rs232/rs232/sim/tb_rs232.v
index 1cc87c8..1cc87c8 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/sim/tb_rs232.v
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/sim/tb_rs232.v
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/sim/tb_uart_rx.v b/fpga/smh-ac415/examples/05_rs232/rs232/sim/tb_uart_rx.v
index 8c0c390..8c0c390 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/sim/tb_uart_rx.v
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/sim/tb_uart_rx.v
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/sim/tb_uart_tx.v b/fpga/smh-ac415/examples/05_rs232/rs232/sim/tb_uart_tx.v
index b0ecf1c..b0ecf1c 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/rs232/sim/tb_uart_tx.v
+++ b/fpga/smh-ac415/examples/05_rs232/rs232/sim/tb_uart_tx.v
diff --git a/fpga/smh-ac415-fpga/examples/05_rs232/实验现象.txt b/fpga/smh-ac415/examples/05_rs232/实验现象.txt
index 33456c5..33456c5 100644
--- a/fpga/smh-ac415-fpga/examples/05_rs232/实验现象.txt
+++ b/fpga/smh-ac415/examples/05_rs232/实验现象.txt
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/doc/data.txt b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/doc/data.txt
index 24ef7b8..24ef7b8 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/doc/data.txt
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/doc/data.txt
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/doc/uart_sd.vsdx b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/doc/uart_sd.vsdx
index 83a2e48..83a2e48 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/doc/uart_sd.vsdx
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/doc/uart_sd.vsdx
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/PLLJ_PLLSPE_INFO.txt b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/PLLJ_PLLSPE_INFO.txt
index 790cae7..790cae7 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/PLLJ_PLLSPE_INFO.txt
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/PLLJ_PLLSPE_INFO.txt
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.ppf b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.ppf
index a0d0ea9..a0d0ea9 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.ppf
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.ppf
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.qip b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.qip
index ec92e56..ec92e56 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.qip
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.qip
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.v
index 07e1850..07e1850 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen_bb.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen_bb.v
index c60b06d..c60b06d 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen_bb.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen_bb.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen_inst.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen_inst.v
index bad6ce7..bad6ce7 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen_inst.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/clk_gen_inst.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt
index a059cd0..a059cd0 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data.qip b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data.qip
index d27d36e..d27d36e 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data.qip
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data.qip
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data.v
index 7e69a6c..7e69a6c 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data_bb.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data_bb.v
index 4230405..4230405 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data_bb.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data_bb.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data_inst.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data_inst.v
index e97cd51..e97cd51 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data_inst.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/fifo_rd_data_inst.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/greybox_tmp/cbx_args.txt b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/greybox_tmp/cbx_args.txt
index bacc0ff..bacc0ff 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/greybox_tmp/cbx_args.txt
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_rd_data/greybox_tmp/cbx_args.txt
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data.qip b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data.qip
index 8ccea8e..8ccea8e 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data.qip
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data.qip
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data.v
index 1ddb948..1ddb948 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data_bb.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data_bb.v
index 0d15210..0d15210 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data_bb.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data_bb.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data_inst.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data_inst.v
index 106098f..106098f 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data_inst.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/fifo_wr_data_inst.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/greybox_tmp/cbx_args.txt b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/greybox_tmp/cbx_args.txt
index a6f0d77..a6f0d77 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/greybox_tmp/cbx_args.txt
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/ip_core/fifo_wr_data/greybox_tmp/cbx_args.txt
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd.sft b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd.sft
index efdb038..efdb038 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd.sft
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd.sft
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd.vo b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd.vo
index a6403c2..a6403c2 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd.vo
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd.vo
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_0c_slow.vo b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_0c_slow.vo
index 6b66e8b..6b66e8b 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_0c_slow.vo
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_0c_slow.vo
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_0c_v_slow.sdo b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_0c_v_slow.sdo
index 273365b..273365b 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_0c_v_slow.sdo
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_0c_v_slow.sdo
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_85c_slow.vo b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_85c_slow.vo
index 74d0a72..74d0a72 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_85c_slow.vo
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_85c_slow.vo
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_85c_v_slow.sdo b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_85c_v_slow.sdo
index 079b0bf..079b0bf 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_85c_v_slow.sdo
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_8_1200mv_85c_v_slow.sdo
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_min_1200mv_0c_fast.vo b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_min_1200mv_0c_fast.vo
index 0bcbc2e..0bcbc2e 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_min_1200mv_0c_fast.vo
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_min_1200mv_0c_fast.vo
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_min_1200mv_0c_v_fast.sdo b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_min_1200mv_0c_v_fast.sdo
index d3b225b..d3b225b 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_min_1200mv_0c_v_fast.sdo
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_min_1200mv_0c_v_fast.sdo
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_modelsim.xrf b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_modelsim.xrf
index 8521a91..8521a91 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_modelsim.xrf
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_modelsim.xrf
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_v.sdo b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_v.sdo
index b378534..b378534 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_v.sdo
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/simulation/modelsim/uart_sd_v.sdo
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/stp/clk_gen.qip b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/stp/clk_gen.qip
index e69de29..e69de29 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/stp/clk_gen.qip
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/stp/clk_gen.qip
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/stp/greybox_tmp/cbx_args.txt b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/stp/greybox_tmp/cbx_args.txt
index bc30615..bc30615 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/stp/greybox_tmp/cbx_args.txt
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/stp/greybox_tmp/cbx_args.txt
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qpf b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qpf
index f5b3989..f5b3989 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qpf
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qpf
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qsf b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qsf
index f0d56ba..f0d56ba 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qsf
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qsf
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qws b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qws
index 105501c..105501c 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qws
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd.qws
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd_assignment_defaults.qdf b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd_assignment_defaults.qdf
index f091ef2..f091ef2 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd_assignment_defaults.qdf
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/quartus_prj/uart_sd_assignment_defaults.qdf
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/data_rw_ctrl.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/data_rw_ctrl.v
index 1bb9f5e..1bb9f5e 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/data_rw_ctrl.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/data_rw_ctrl.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_ctrl.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_ctrl.v
index 5f58911..5f58911 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_ctrl.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_ctrl.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_init.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_init.v
index 3092489..3092489 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_init.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_init.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_init.v.bak b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_init.v.bak
index 50c320c..50c320c 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_init.v.bak
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_init.v.bak
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_read.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_read.v
index f7fc271..f7fc271 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_read.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_read.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_write.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_write.v
index 1b23bf1..1b23bf1 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/sd_write.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/sd_write.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/uart_rx.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/uart_rx.v
index ad85158..ad85158 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/uart_rx.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/uart_rx.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/uart_sd.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/uart_sd.v
index d85f92d..d85f92d 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/uart_sd.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/uart_sd.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/uart_tx.v b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/uart_tx.v
index a1e1f2e..a1e1f2e 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/uart_sd/rtl/uart_tx.v
+++ b/fpga/smh-ac415/examples/06_uart_sd/uart_sd/rtl/uart_tx.v
diff --git a/fpga/smh-ac415-fpga/examples/06_uart_sd/实验现象.txt b/fpga/smh-ac415/examples/06_uart_sd/实验现象.txt
index b3c452d..b3c452d 100644
--- a/fpga/smh-ac415-fpga/examples/06_uart_sd/实验现象.txt
+++ b/fpga/smh-ac415/examples/06_uart_sd/实验现象.txt
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/doc/VESA VGA时序标准.pdf b/fpga/smh-ac415/examples/07_hdmi/hdmi/doc/VESA VGA时序标准.pdf
index b0b4015..b0b4015 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/doc/VESA VGA时序标准.pdf
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/doc/VESA VGA时序标准.pdf
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/doc/hdmi_colorbar.vsdx b/fpga/smh-ac415/examples/07_hdmi/hdmi/doc/hdmi_colorbar.vsdx
index 4438105..4438105 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/doc/hdmi_colorbar.vsdx
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/doc/hdmi_colorbar.vsdx
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt
index dd9735f..dd9735f 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ddio_out.qip b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ddio_out.qip
index e69de29..e69de29 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ddio_out.qip
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ddio_out.qip
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt
index 972848d..972848d 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qpf b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qpf
index e0542a7..e0542a7 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qpf
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qpf
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf
index d5ba89a..d5ba89a 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar_assignment_defaults.qdf b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar_assignment_defaults.qdf
index 020bb6d..020bb6d 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar_assignment_defaults.qdf
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar_assignment_defaults.qdf
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf
index 113b0e9..113b0e9 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip
index 433e305..433e305 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v
index 2da04a9..2da04a9 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v
index fc20c78..fc20c78 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v
index 8d0a6fe..8d0a6fe 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt
index f6a28fe..f6a28fe 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/clk_gen.qip b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/clk_gen.qip
index e69de29..e69de29 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/clk_gen.qip
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/clk_gen.qip
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.bsf b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.bsf
index a1a9664..a1a9664 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.bsf
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.bsf
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.cmp b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.cmp
index 8334a29..8334a29 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.cmp
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.cmp
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.inc b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.inc
index fa5e50d..fa5e50d 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.inc
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.inc
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.ppf b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.ppf
index 2eecd59..2eecd59 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.ppf
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.ppf
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip
index 6084731..6084731 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v
index 5758d48..5758d48 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_bb.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_bb.v
index 52b2bf0..52b2bf0 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_bb.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_bb.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_inst.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_inst.v
index 99572eb..99572eb 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_inst.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_inst.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/greybox_tmp/cbx_args.txt b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/greybox_tmp/cbx_args.txt
index 19abf30..19abf30 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/greybox_tmp/cbx_args.txt
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/greybox_tmp/cbx_args.txt
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/pll.qip b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/pll.qip
index e69de29..e69de29 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/pll.qip
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/pll.qip
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft
index 41865e2..41865e2 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo
index 55cd09e..55cd09e 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo
index 0ae9191..0ae9191 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo
index 07bf248..07bf248 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo
index 7223147..7223147 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo
index 546f76d..546f76d 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo
index ac285b4..ac285b4 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo
index 72129fa..72129fa 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf
index 6ca2a62..6ca2a62 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo
index 08f74b3..08f74b3 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/encode.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/hdmi/encode.v
index 5fd4449..5fd4449 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/encode.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/hdmi/encode.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v
index d0b2a50..d0b2a50 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v
index 3d08083..3d08083 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi_colorbar.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/hdmi_colorbar.v
index 861f8b1..861f8b1 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi_colorbar.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/hdmi_colorbar.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_ctrl.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/vga_ctrl.v
index d6b6ed1..d6b6ed1 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_ctrl.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/vga_ctrl.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_pic.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/vga_pic.v
index 05965da..05965da 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_pic.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/rtl/vga_pic.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v b/fpga/smh-ac415/examples/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v
index 77885f8..77885f8 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v
+++ b/fpga/smh-ac415/examples/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v
diff --git a/fpga/smh-ac415-fpga/examples/07_hdmi/实验现象.txt b/fpga/smh-ac415/examples/07_hdmi/实验现象.txt
index 6b1e0c5..6b1e0c5 100644
--- a/fpga/smh-ac415-fpga/examples/07_hdmi/实验现象.txt
+++ b/fpga/smh-ac415/examples/07_hdmi/实验现象.txt
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/doc/IS42S116160.pdf b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/doc/IS42S116160.pdf
index 4cf210a..4cf210a 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/doc/IS42S116160.pdf
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/doc/IS42S116160.pdf
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/doc/ML0006 0012-2.pdf b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/doc/ML0006 0012-2.pdf
index 2a88800..2a88800 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/doc/ML0006 0012-2.pdf
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/doc/ML0006 0012-2.pdf
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/doc/W9825G6DH-6-datasheet.pdf b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/doc/W9825G6DH-6-datasheet.pdf
index dcc2dbd..dcc2dbd 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/doc/W9825G6DH-6-datasheet.pdf
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/doc/W9825G6DH-6-datasheet.pdf
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/doc/uart_sdram.vsdx b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/doc/uart_sdram.vsdx
index 42f175a..42f175a 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/doc/uart_sdram.vsdx
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/doc/uart_sdram.vsdx
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/matlab/tast_data.m b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/matlab/tast_data.m
index ee3a0d3..ee3a0d3 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/matlab/tast_data.m
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/matlab/tast_data.m
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/matlab/test_data.txt b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/matlab/test_data.txt
index f81cb6e..f81cb6e 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/matlab/test_data.txt
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/matlab/test_data.txt
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/PLLJ_PLLSPE_INFO.txt b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/PLLJ_PLLSPE_INFO.txt
index 790cae7..790cae7 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/PLLJ_PLLSPE_INFO.txt
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/PLLJ_PLLSPE_INFO.txt
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.ppf b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.ppf
index 4ef1af0..4ef1af0 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.ppf
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.ppf
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.qip b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.qip
index 433e305..433e305 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.qip
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.qip
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.v
index 7f4e3ca..7f4e3ca 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen_bb.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen_bb.v
index 9101d97..9101d97 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen_bb.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen_bb.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen_inst.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen_inst.v
index ee1b2f9..ee1b2f9 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen_inst.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/clk_gen_inst.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/greybox_tmp/cbx_args.txt b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/greybox_tmp/cbx_args.txt
index 687e8e2..687e8e2 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/greybox_tmp/cbx_args.txt
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/clk_gen/greybox_tmp/cbx_args.txt
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data.qip b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data.qip
index fffcf83..fffcf83 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data.qip
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data.qip
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data.v
index 7031dc3..7031dc3 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data_bb.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data_bb.v
index 771b984..771b984 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data_bb.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data_bb.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data_inst.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data_inst.v
index 20d52aa..20d52aa 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data_inst.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/fifo_data_inst.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/greybox_tmp/cbx_args.txt b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/greybox_tmp/cbx_args.txt
index a65cfa1..a65cfa1 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/greybox_tmp/cbx_args.txt
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_data/greybox_tmp/cbx_args.txt
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read.qip b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read.qip
index aaada86..aaada86 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read.qip
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read.qip
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read.v
index af4ca89..af4ca89 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read_bb.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read_bb.v
index 878b924..878b924 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read_bb.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read_bb.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read_inst.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read_inst.v
index 108f8fa..108f8fa 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read_inst.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/fifo_read_inst.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/greybox_tmp/cbx_args.txt b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/greybox_tmp/cbx_args.txt
index 6491690..6491690 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/greybox_tmp/cbx_args.txt
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/fifo_read/greybox_tmp/cbx_args.txt
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/greybox_tmp/cbx_args.txt b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/greybox_tmp/cbx_args.txt
index 6491690..6491690 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/greybox_tmp/cbx_args.txt
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/greybox_tmp/cbx_args.txt
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo.qip b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo.qip
index 5c08e23..5c08e23 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo.qip
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo.qip
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo.v
index c0f7b23..c0f7b23 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo_bb.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo_bb.v
index ebea1f2..ebea1f2 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo_bb.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo_bb.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo_inst.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo_inst.v
index 58e24fa..58e24fa 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo_inst.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/ip_core/read_fifo/read_fifo_inst.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram.sft b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram.sft
index 5b18e2c..5b18e2c 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram.sft
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram.sft
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram.vo b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram.vo
index 43c9473..43c9473 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram.vo
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram.vo
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_0c_slow.vo b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_0c_slow.vo
index 9d22bab..9d22bab 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_0c_slow.vo
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_0c_slow.vo
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_0c_v_slow.sdo b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_0c_v_slow.sdo
index dd3ba87..dd3ba87 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_0c_v_slow.sdo
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_0c_v_slow.sdo
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_85c_slow.vo b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_85c_slow.vo
index aa229f8..aa229f8 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_85c_slow.vo
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_85c_slow.vo
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_85c_v_slow.sdo b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_85c_v_slow.sdo
index 5006d42..5006d42 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_85c_v_slow.sdo
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_8_1200mv_85c_v_slow.sdo
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_min_1200mv_0c_fast.vo b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_min_1200mv_0c_fast.vo
index 303316b..303316b 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_min_1200mv_0c_fast.vo
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_min_1200mv_0c_fast.vo
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_min_1200mv_0c_v_fast.sdo b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_min_1200mv_0c_v_fast.sdo
index cc7d6fb..cc7d6fb 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_min_1200mv_0c_v_fast.sdo
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_min_1200mv_0c_v_fast.sdo
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_modelsim.xrf b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_modelsim.xrf
index 4aec183..4aec183 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_modelsim.xrf
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_modelsim.xrf
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_v.sdo b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_v.sdo
index 5006d42..5006d42 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_v.sdo
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/simulation/modelsim/uart_sdram_v.sdo
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qpf b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qpf
index 7b4a7ed..7b4a7ed 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qpf
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qpf
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qsf b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qsf
index 904d70f..904d70f 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qsf
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qsf
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qws b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qws
index d0b8df7..d0b8df7 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qws
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/project/uart_sdram.qws
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/fifo_read.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/fifo_read.v
index 22e5aca..22e5aca 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/fifo_read.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/fifo_read.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/fifo_ctrl.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/fifo_ctrl.v
index aae3f2b..aae3f2b 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/fifo_ctrl.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/fifo_ctrl.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_a_ref.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_a_ref.v
index 3b35822..3b35822 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_a_ref.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_a_ref.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_arbit.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_arbit.v
index 710b98d..710b98d 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_arbit.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_arbit.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_ctrl.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_ctrl.v
index 32b78b9..32b78b9 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_ctrl.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_ctrl.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_init.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_init.v
index 9fc99f6..9fc99f6 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_init.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_init.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_read.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_read.v
index 4dcb13f..4dcb13f 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_read.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_read.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_top.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_top.v
index 7b1655d..7b1655d 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_top.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_top.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_write.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_write.v
index 4febd1f..4febd1f 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_write.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/sdram/sdram_write.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/uart_rx.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/uart_rx.v
index 01bd165..01bd165 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/uart_rx.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/uart_rx.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/uart_sdram.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/uart_sdram.v
index d50ebcb..d50ebcb 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/uart_sdram.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/uart_sdram.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/uart_tx.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/uart_tx.v
index 9447945..9447945 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/rtl/uart_tx.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/rtl/uart_tx.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/sdram_model_plus.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/sdram_model_plus.v
index 4e51287..4e51287 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/sdram_model_plus.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/sdram_model_plus.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_a_ref/sdram_model_plus.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_a_ref/sdram_model_plus.v
index 4e51287..4e51287 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_a_ref/sdram_model_plus.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_a_ref/sdram_model_plus.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_a_ref/tb_sdram_a_ref.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_a_ref/tb_sdram_a_ref.v
index a0fb5bf..a0fb5bf 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_a_ref/tb_sdram_a_ref.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_a_ref/tb_sdram_a_ref.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_ctrl/sdram_model_plus.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_ctrl/sdram_model_plus.v
index 4e51287..4e51287 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_ctrl/sdram_model_plus.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_ctrl/sdram_model_plus.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_ctrl/tb_sdram_ctrl.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_ctrl/tb_sdram_ctrl.v
index 5a1b6bf..5a1b6bf 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_ctrl/tb_sdram_ctrl.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_ctrl/tb_sdram_ctrl.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_init/sdram_model_plus.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_init/sdram_model_plus.v
index 4e51287..4e51287 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_init/sdram_model_plus.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_init/sdram_model_plus.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_init/tb_sdram_init.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_init/tb_sdram_init.v
index 8a1d976..8a1d976 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_init/tb_sdram_init.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_init/tb_sdram_init.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_read/sdram_model_plus.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_read/sdram_model_plus.v
index 4e51287..4e51287 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_read/sdram_model_plus.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_read/sdram_model_plus.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_read/tb_sdram_read.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_read/tb_sdram_read.v
index 1420491..1420491 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_read/tb_sdram_read.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_read/tb_sdram_read.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_top/sdram_model_plus.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_top/sdram_model_plus.v
index 4e51287..4e51287 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_top/sdram_model_plus.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_top/sdram_model_plus.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_top/tb_sdram_top.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_top/tb_sdram_top.v
index c9a04b6..c9a04b6 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_top/tb_sdram_top.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_top/tb_sdram_top.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_write/sdram_model_plus.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_write/sdram_model_plus.v
index 4e51287..4e51287 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_write/sdram_model_plus.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_write/sdram_model_plus.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_write/tb_sdram_write.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_write/tb_sdram_write.v
index 108f7ca..108f7ca 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_write/tb_sdram_write.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_sdram_write/tb_sdram_write.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_uart_sdram.v b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_uart_sdram.v
index 18133b2..18133b2 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/tb_uart_sdram.v
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/tb_uart_sdram.v
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/test_data.txt b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/test_data.txt
index f81cb6e..f81cb6e 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/uart_sdram/sim/test_data.txt
+++ b/fpga/smh-ac415/examples/08_uart_sdram/uart_sdram/sim/test_data.txt
diff --git a/fpga/smh-ac415-fpga/examples/08_uart_sdram/实验现象.txt b/fpga/smh-ac415/examples/08_uart_sdram/实验现象.txt
index 165e40b..165e40b 100644
--- a/fpga/smh-ac415-fpga/examples/08_uart_sdram/实验现象.txt
+++ b/fpga/smh-ac415/examples/08_uart_sdram/实验现象.txt
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/doc/VESA VGA时序标准.pdf b/fpga/smh-ac415/examples/09_vga/vga/doc/VESA VGA时序标准.pdf
index b0b4015..b0b4015 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/doc/VESA VGA时序标准.pdf
+++ b/fpga/smh-ac415/examples/09_vga/vga/doc/VESA VGA时序标准.pdf
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/doc/vga_colorbar.vsdx b/fpga/smh-ac415/examples/09_vga/vga/doc/vga_colorbar.vsdx
index 99486d0..99486d0 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/doc/vga_colorbar.vsdx
+++ b/fpga/smh-ac415/examples/09_vga/vga/doc/vga_colorbar.vsdx
Binary files differ
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/PLLJ_PLLSPE_INFO.txt b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/PLLJ_PLLSPE_INFO.txt
index dd9735f..dd9735f 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/PLLJ_PLLSPE_INFO.txt
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/PLLJ_PLLSPE_INFO.txt
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.ppf b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.ppf
index 30a8de7..30a8de7 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.ppf
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.ppf
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.qip b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.qip
index 433e305..433e305 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.qip
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.qip
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.v b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.v
index 9bf99ee..9bf99ee 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.v
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.v
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen_bb.v b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen_bb.v
index 1892505..1892505 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen_bb.v
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen_bb.v
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen_inst.v b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen_inst.v
index 9d75f00..9d75f00 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen_inst.v
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen_inst.v
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt
index 0eb724d..0eb724d 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.sft b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.sft
index 3df98f6..3df98f6 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.sft
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.sft
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.vo b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.vo
index 92df8a1..92df8a1 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.vo
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.vo
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_slow.vo b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_slow.vo
index ef7d7ec..ef7d7ec 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_slow.vo
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_slow.vo
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_v_slow.sdo b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_v_slow.sdo
index 99bdd4f..99bdd4f 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_v_slow.sdo
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_v_slow.sdo
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_slow.vo b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_slow.vo
index 3bf71c5..3bf71c5 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_slow.vo
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_slow.vo
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_v_slow.sdo b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_v_slow.sdo
index 723e9d9..723e9d9 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_v_slow.sdo
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_v_slow.sdo
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_fast.vo b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_fast.vo
index 17a7bae..17a7bae 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_fast.vo
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_fast.vo
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_v_fast.sdo b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_v_fast.sdo
index 337b1b4..337b1b4 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_v_fast.sdo
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_v_fast.sdo
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_modelsim.xrf b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_modelsim.xrf
index 7799820..7799820 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_modelsim.xrf
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_modelsim.xrf
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_v.sdo b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_v.sdo
index 723e9d9..723e9d9 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_v.sdo
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_v.sdo
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qpf b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/vga_colorbar.qpf
index 3e567ad..3e567ad 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qpf
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/vga_colorbar.qpf
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qsf b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/vga_colorbar.qsf
index ae79bc5..ae79bc5 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qsf
+++ b/fpga/smh-ac415/examples/09_vga/vga/quartus_prj/vga_colorbar.qsf
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/rtl/vga_colorbar.v b/fpga/smh-ac415/examples/09_vga/vga/rtl/vga_colorbar.v
index 6b5f4dc..6b5f4dc 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/rtl/vga_colorbar.v
+++ b/fpga/smh-ac415/examples/09_vga/vga/rtl/vga_colorbar.v
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/rtl/vga_ctrl.v b/fpga/smh-ac415/examples/09_vga/vga/rtl/vga_ctrl.v
index c00ab5c..c00ab5c 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/rtl/vga_ctrl.v
+++ b/fpga/smh-ac415/examples/09_vga/vga/rtl/vga_ctrl.v
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/rtl/vga_pic.v b/fpga/smh-ac415/examples/09_vga/vga/rtl/vga_pic.v
index b0c178c..b0c178c 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/rtl/vga_pic.v
+++ b/fpga/smh-ac415/examples/09_vga/vga/rtl/vga_pic.v
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/sim/tb_vga_colorbar.v b/fpga/smh-ac415/examples/09_vga/vga/sim/tb_vga_colorbar.v
index 6d6bb9f..6d6bb9f 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/sim/tb_vga_colorbar.v
+++ b/fpga/smh-ac415/examples/09_vga/vga/sim/tb_vga_colorbar.v
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/vga/sim/tb_vga_ctrl.v b/fpga/smh-ac415/examples/09_vga/vga/sim/tb_vga_ctrl.v
index fe027dd..fe027dd 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/vga/sim/tb_vga_ctrl.v
+++ b/fpga/smh-ac415/examples/09_vga/vga/sim/tb_vga_ctrl.v
diff --git a/fpga/smh-ac415-fpga/examples/09_vga/实验现象.txt b/fpga/smh-ac415/examples/09_vga/实验现象.txt
index 0d9b9c8..0d9b9c8 100644
--- a/fpga/smh-ac415-fpga/examples/09_vga/实验现象.txt
+++ b/fpga/smh-ac415/examples/09_vga/实验现象.txt