summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorroot <root@new-fish.medaka.james.internal>2025-04-29 22:14:34 +0100
committerroot <root@new-fish.medaka.james.internal>2025-04-29 22:14:34 +0100
commit80f49c5d48c0ea2dad5e9c49a8693e12d84cd001 (patch)
tree486b3b1ac27268d29aac9e885a2f427f95b6e489
parentb925aff6294ae130d02b80662420d90ea666712d (diff)
downloadhp_instrument_lcds-80f49c5d48c0ea2dad5e9c49a8693e12d84cd001.tar.gz
hp_instrument_lcds-80f49c5d48c0ea2dad5e9c49a8693e12d84cd001.tar.bz2
hp_instrument_lcds-80f49c5d48c0ea2dad5e9c49a8693e12d84cd001.zip
hdmi almost working
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt10
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt79
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf110
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qwsbin613 -> 0 bytes
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf24
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip12
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v696
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v464
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v14
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft12
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo22886
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo22886
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo18124
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo22886
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo18124
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo22886
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo18124
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf1246
-rw-r--r--smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo18124
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/PLLJ_PLLSPE_INFO.txt10
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.sft12
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.vo5666
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_slow.vo5666
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_v_slow.sdo4216
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_slow.vo5666
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_v_slow.sdo4216
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_fast.vo5666
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_v_fast.sdo4216
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_modelsim.xrf332
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_v.sdo4216
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qsf3
-rw-r--r--smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qwsbin613 -> 0 bytes
-rw-r--r--spartan6/hp_lcd_driver/clkgen_cyclone4_impl.vhdl36
-rw-r--r--spartan6/hp_lcd_driver/ep4ce15.cfg11
-rw-r--r--spartan6/hp_lcd_driver/hp_lcd_driver.ep4ce15_qsft95
-rw-r--r--spartan6/hp_lcd_driver/hp_lcd_driver.vhdl6
-rw-r--r--spartan6/hp_lcd_driver/output_formatter.vhdl2
-rw-r--r--spartan6/hp_lcd_driver/output_stage.vhdl8
-rwxr-xr-xspartan6/hp_lcd_driver/prog14
-rw-r--r--spartan6/hp_lcd_driver/tmds_output_cyclone4.vhdl6
-rw-r--r--spartan6/hp_lcd_driver/tmds_phy_cyclone4.vhdl10
41 files changed, 103448 insertions, 103332 deletions
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt
index 0aa6c27..dd9735f 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt
@@ -1,5 +1,5 @@
-PLL_Name clk_gen:clk_gen_inst|altpll:altpll_component|clk_gen_altpll:auto_generated|pll1
-PLLJITTER 35
-PLLSPEmax 84
-PLLSPEmin -53
-
+PLL_Name clk_gen:clk_gen_inst|altpll:altpll_component|clk_gen_altpll:auto_generated|pll1
+PLLJITTER 30
+PLLSPEmax 84
+PLLSPEmin -53
+
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt
index 0d488fd..972848d 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt
@@ -1,13 +1,66 @@
-INTENDED_DEVICE_FAMILY="Cyclone IV E"
-INVERT_OUTPUT=OFF
-LPM_HINT=UNUSED
-LPM_TYPE=altddio_out
-POWER_UP_HIGH=OFF
-WIDTH=1
-DEVICE_FAMILY="Cyclone IV E"
-CBX_AUTO_BLACKBOX=ALL
-CBX_AUTO_BLACKBOX=ALL
-datain_h
-datain_l
-dataout
-outclock
+BANDWIDTH_TYPE=AUTO
+CLK0_DIVIDE_BY=25
+CLK0_DUTY_CYCLE=50
+CLK0_MULTIPLY_BY=12
+CLK0_PHASE_SHIFT=0
+CLK1_DIVIDE_BY=5
+CLK1_DUTY_CYCLE=50
+CLK1_MULTIPLY_BY=12
+CLK1_PHASE_SHIFT=0
+COMPENSATE_CLOCK=CLK0
+INCLK0_INPUT_FREQUENCY=20000
+INTENDED_DEVICE_FAMILY="Cyclone IV E"
+LPM_TYPE=altpll
+OPERATION_MODE=NORMAL
+PLL_TYPE=AUTO
+PORT_ACTIVECLOCK=PORT_UNUSED
+PORT_ARESET=PORT_USED
+PORT_CLKBAD0=PORT_UNUSED
+PORT_CLKBAD1=PORT_UNUSED
+PORT_CLKLOSS=PORT_UNUSED
+PORT_CLKSWITCH=PORT_UNUSED
+PORT_CONFIGUPDATE=PORT_UNUSED
+PORT_FBIN=PORT_UNUSED
+PORT_INCLK0=PORT_USED
+PORT_INCLK1=PORT_UNUSED
+PORT_LOCKED=PORT_USED
+PORT_PFDENA=PORT_UNUSED
+PORT_PHASECOUNTERSELECT=PORT_UNUSED
+PORT_PHASEDONE=PORT_UNUSED
+PORT_PHASESTEP=PORT_UNUSED
+PORT_PHASEUPDOWN=PORT_UNUSED
+PORT_PLLENA=PORT_UNUSED
+PORT_SCANACLR=PORT_UNUSED
+PORT_SCANCLK=PORT_UNUSED
+PORT_SCANCLKENA=PORT_UNUSED
+PORT_SCANDATA=PORT_UNUSED
+PORT_SCANDATAOUT=PORT_UNUSED
+PORT_SCANDONE=PORT_UNUSED
+PORT_SCANREAD=PORT_UNUSED
+PORT_SCANWRITE=PORT_UNUSED
+PORT_clk0=PORT_USED
+PORT_clk1=PORT_USED
+PORT_clk2=PORT_UNUSED
+PORT_clk3=PORT_UNUSED
+PORT_clk4=PORT_UNUSED
+PORT_clk5=PORT_UNUSED
+PORT_clkena0=PORT_UNUSED
+PORT_clkena1=PORT_UNUSED
+PORT_clkena2=PORT_UNUSED
+PORT_clkena3=PORT_UNUSED
+PORT_clkena4=PORT_UNUSED
+PORT_clkena5=PORT_UNUSED
+PORT_extclk0=PORT_UNUSED
+PORT_extclk1=PORT_UNUSED
+PORT_extclk2=PORT_UNUSED
+PORT_extclk3=PORT_UNUSED
+SELF_RESET_ON_LOSS_LOCK=OFF
+WIDTH_CLOCK=5
+DEVICE_FAMILY="Cyclone IV E"
+CBX_AUTO_BLACKBOX=ALL
+areset
+inclk
+inclk
+clk
+clk
+locked
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf
index 1bf5998..d5ba89a 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf
@@ -36,63 +36,65 @@
# -------------------------------------------------------------------------- #
-set_global_assignment -name FAMILY "Cyclone IV E"
-set_global_assignment -name DEVICE EP4CE15F23C8
+set_global_assignment -name FAMILY "Cyclone IV E"
+set_global_assignment -name DEVICE EP4CE15F23C8
set_global_assignment -name TOP_LEVEL_ENTITY hdmi_colorbar
-set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
-set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:19:29 MARCH 05, 2020"
-set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
-set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
-set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
-set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
-set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
-set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (Verilog)"
-set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
-set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
-set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
-set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:19:29 MARCH 05, 2020"
+set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
+set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
+set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
+set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
+set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
+set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (Verilog)"
+set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
+set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
+set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
+set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
-set_location_assignment PIN_T22 -to sys_clk
-set_location_assignment PIN_U20 -to sys_rst_n
+set_location_assignment PIN_T22 -to sys_clk
+set_location_assignment PIN_U20 -to sys_rst_n
-set_location_assignment PIN_H22 -to tmds_clk_n
-set_location_assignment PIN_H21 -to tmds_clk_p
-set_location_assignment PIN_D22 -to tmds_data_n[2]
-set_location_assignment PIN_E22 -to tmds_data_n[1]
-set_location_assignment PIN_F22 -to tmds_data_n[0]
-set_location_assignment PIN_D21 -to tmds_data_p[2]
-set_location_assignment PIN_E21 -to tmds_data_p[1]
-set_location_assignment PIN_F21 -to tmds_data_p[0]
+set_location_assignment PIN_H22 -to tmds_clk_n
+set_location_assignment PIN_H21 -to tmds_clk_p
+set_location_assignment PIN_D22 -to tmds_data_n[2]
+set_location_assignment PIN_E22 -to tmds_data_n[1]
+set_location_assignment PIN_F22 -to tmds_data_n[0]
+set_location_assignment PIN_D21 -to tmds_data_p[2]
+set_location_assignment PIN_E21 -to tmds_data_p[1]
+set_location_assignment PIN_F21 -to tmds_data_p[0]
-set_location_assignment PIN_N22 -to ddc_scl
-set_location_assignment PIN_R22 -to ddc_sda
+set_location_assignment PIN_N22 -to ddc_scl
+set_location_assignment PIN_R22 -to ddc_sda
-set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
-set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
-set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
-set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation
-set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH tb_hdmi_colorbar -section_id eda_simulation
-set_global_assignment -name EDA_TEST_BENCH_NAME tb_hdmi_colorbar -section_id eda_simulation
-set_global_assignment -name EDA_DESIGN_INSTANCE_NAME NA -section_id tb_hdmi_colorbar
-set_global_assignment -name EDA_TEST_BENCH_RUN_SIM_FOR "1 us" -section_id tb_hdmi_colorbar
-set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME tb_hdmi_colorbar -section_id tb_hdmi_colorbar
-set_global_assignment -name EDA_TEST_BENCH_FILE ../sim/tb_hdmi_colorbar.v -section_id tb_hdmi_colorbar
-set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
-set_global_assignment -name VERILOG_FILE ../sim/tb_hdmi_colorbar.v
-set_global_assignment -name VERILOG_FILE ../rtl/hdmi/encode.v
-set_global_assignment -name VERILOG_FILE ../rtl/hdmi/par_to_ser.v
-set_global_assignment -name VERILOG_FILE ../rtl/hdmi/hdmi_ctrl.v
-set_global_assignment -name VERILOG_FILE ../rtl/vga_pic.v
-set_global_assignment -name VERILOG_FILE ../rtl/vga_ctrl.v
-set_global_assignment -name VERILOG_FILE ../rtl/hdmi_colorbar.v
-set_global_assignment -name QIP_FILE ip_core/ddio_out/ddio_out.qip
-set_global_assignment -name QIP_FILE ip_core/clk_gen/clk_gen.qip
-set_global_assignment -name CDF_FILE output_files/Chain1.cdf
-set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
-set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF
-set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
-set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise
-set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall
-set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise
-set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
+set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
+set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
+set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation
+set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH tb_hdmi_colorbar -section_id eda_simulation
+set_global_assignment -name EDA_TEST_BENCH_NAME tb_hdmi_colorbar -section_id eda_simulation
+set_global_assignment -name EDA_DESIGN_INSTANCE_NAME NA -section_id tb_hdmi_colorbar
+set_global_assignment -name EDA_TEST_BENCH_RUN_SIM_FOR "1 us" -section_id tb_hdmi_colorbar
+set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME tb_hdmi_colorbar -section_id tb_hdmi_colorbar
+set_global_assignment -name EDA_TEST_BENCH_FILE ../sim/tb_hdmi_colorbar.v -section_id tb_hdmi_colorbar
+set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
+set_global_assignment -name VERILOG_FILE ../sim/tb_hdmi_colorbar.v
+set_global_assignment -name VERILOG_FILE ../rtl/hdmi/encode.v
+set_global_assignment -name VERILOG_FILE ../rtl/hdmi/par_to_ser.v
+set_global_assignment -name VERILOG_FILE ../rtl/hdmi/hdmi_ctrl.v
+set_global_assignment -name VERILOG_FILE ../rtl/vga_pic.v
+set_global_assignment -name VERILOG_FILE ../rtl/vga_ctrl.v
+set_global_assignment -name VERILOG_FILE ../rtl/hdmi_colorbar.v
+set_global_assignment -name QIP_FILE ip_core/ddio_out/ddio_out.qip
+set_global_assignment -name QIP_FILE ip_core/clk_gen/clk_gen.qip
+set_global_assignment -name CDF_FILE output_files/Chain1.cdf
+set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
+set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF
+set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
+set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise
+set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall
+set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise
+set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall
+set_instance_assignment -name IO_STANDARD "2.5 V" -to sys_rst_n
+set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to tmds_clk_n
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qws b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qws
deleted file mode 100644
index 27fd4ea..0000000
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qws
+++ /dev/null
Binary files differ
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf
index a0d0ea9..113b0e9 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf
@@ -1,12 +1,12 @@
-<?xml version="1.0" encoding="UTF-8" ?>
-<!DOCTYPE pinplan>
-<pinplan intended_family="Cyclone IV E" variation_name="clk_gen" megafunction_name="ALTPLL" specifies="all_ports">
-<global>
-<pin name="areset" direction="input" scope="external" />
-<pin name="inclk0" direction="input" scope="external" source="clock" />
-<pin name="c0" direction="output" scope="external" source="clock" />
-<pin name="c1" direction="output" scope="external" source="clock" />
-<pin name="locked" direction="output" scope="external" />
-
-</global>
-</pinplan>
+<?xml version="1.0" encoding="UTF-8" ?>
+<!DOCTYPE pinplan>
+<pinplan intended_family="Cyclone IV E" variation_name="clk_gen" megafunction_name="ALTPLL" specifies="all_ports">
+<global>
+<pin name="areset" direction="input" scope="external" />
+<pin name="inclk0" direction="input" scope="external" source="clock" />
+<pin name="c0" direction="output" scope="external" source="clock" />
+<pin name="c1" direction="output" scope="external" source="clock" />
+<pin name="locked" direction="output" scope="external" />
+
+</global>
+</pinplan>
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip
index ec92e56..433e305 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip
@@ -1,6 +1,6 @@
-set_global_assignment -name IP_TOOL_NAME "ALTPLL"
-set_global_assignment -name IP_TOOL_VERSION "13.0"
-set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "clk_gen.v"]
-set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "clk_gen_inst.v"]
-set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "clk_gen_bb.v"]
-set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "clk_gen.ppf"]
+set_global_assignment -name IP_TOOL_NAME "ALTPLL"
+set_global_assignment -name IP_TOOL_VERSION "13.0"
+set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "clk_gen.v"]
+set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "clk_gen_inst.v"]
+set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "clk_gen_bb.v"]
+set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "clk_gen.ppf"]
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v
index e76f314..2da04a9 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v
@@ -1,348 +1,348 @@
-// megafunction wizard: %ALTPLL%
-// GENERATION: STANDARD
-// VERSION: WM1.0
-// MODULE: altpll
-
-// ============================================================
-// File Name: clk_gen.v
-// Megafunction Name(s):
-// altpll
-//
-// Simulation Library Files(s):
-// altera_mf
-// ============================================================
-// ************************************************************
-// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
-//
-// 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version
-// ************************************************************
-
-
-//Copyright (C) 1991-2013 Altera Corporation
-//Your use of Altera Corporation's design tools, logic functions
-//and other software and tools, and its AMPP partner logic
-//functions, and any output files from any of the foregoing
-//(including device programming or simulation files), and any
-//associated documentation or information are expressly subject
-//to the terms and conditions of the Altera Program License
-//Subscription Agreement, Altera MegaCore Function License
-//Agreement, or other applicable license agreement, including,
-//without limitation, that your use is for the sole purpose of
-//programming logic devices manufactured by Altera and sold by
-//Altera or its authorized distributors. Please refer to the
-//applicable agreement for further details.
-
-
-// synopsys translate_off
-`timescale 1 ps / 1 ps
-// synopsys translate_on
-module clk_gen (
- areset,
- inclk0,
- c0,
- c1,
- locked);
-
- input areset;
- input inclk0;
- output c0;
- output c1;
- output locked;
-`ifndef ALTERA_RESERVED_QIS
-// synopsys translate_off
-`endif
- tri0 areset;
-`ifndef ALTERA_RESERVED_QIS
-// synopsys translate_on
-`endif
-
- wire [4:0] sub_wire0;
- wire sub_wire2;
- wire [0:0] sub_wire6 = 1'h0;
- wire [0:0] sub_wire3 = sub_wire0[0:0];
- wire [1:1] sub_wire1 = sub_wire0[1:1];
- wire c1 = sub_wire1;
- wire locked = sub_wire2;
- wire c0 = sub_wire3;
- wire sub_wire4 = inclk0;
- wire [1:0] sub_wire5 = {sub_wire6, sub_wire4};
-
- altpll altpll_component (
- .areset (areset),
- .inclk (sub_wire5),
- .clk (sub_wire0),
- .locked (sub_wire2),
- .activeclock (),
- .clkbad (),
- .clkena ({6{1'b1}}),
- .clkloss (),
- .clkswitch (1'b0),
- .configupdate (1'b0),
- .enable0 (),
- .enable1 (),
- .extclk (),
- .extclkena ({4{1'b1}}),
- .fbin (1'b1),
- .fbmimicbidir (),
- .fbout (),
- .fref (),
- .icdrclk (),
- .pfdena (1'b1),
- .phasecounterselect ({4{1'b1}}),
- .phasedone (),
- .phasestep (1'b1),
- .phaseupdown (1'b1),
- .pllena (1'b1),
- .scanaclr (1'b0),
- .scanclk (1'b0),
- .scanclkena (1'b1),
- .scandata (1'b0),
- .scandataout (),
- .scandone (),
- .scanread (1'b0),
- .scanwrite (1'b0),
- .sclkout0 (),
- .sclkout1 (),
- .vcooverrange (),
- .vcounderrange ());
- defparam
- altpll_component.bandwidth_type = "AUTO",
- altpll_component.clk0_divide_by = 2,
- altpll_component.clk0_duty_cycle = 50,
- altpll_component.clk0_multiply_by = 1,
- altpll_component.clk0_phase_shift = "0",
- altpll_component.clk1_divide_by = 2,
- altpll_component.clk1_duty_cycle = 50,
- altpll_component.clk1_multiply_by = 5,
- altpll_component.clk1_phase_shift = "0",
- altpll_component.compensate_clock = "CLK0",
- altpll_component.inclk0_input_frequency = 20000,
- altpll_component.intended_device_family = "Cyclone IV E",
- altpll_component.lpm_hint = "CBX_MODULE_PREFIX=clk_gen",
- altpll_component.lpm_type = "altpll",
- altpll_component.operation_mode = "NORMAL",
- altpll_component.pll_type = "AUTO",
- altpll_component.port_activeclock = "PORT_UNUSED",
- altpll_component.port_areset = "PORT_USED",
- altpll_component.port_clkbad0 = "PORT_UNUSED",
- altpll_component.port_clkbad1 = "PORT_UNUSED",
- altpll_component.port_clkloss = "PORT_UNUSED",
- altpll_component.port_clkswitch = "PORT_UNUSED",
- altpll_component.port_configupdate = "PORT_UNUSED",
- altpll_component.port_fbin = "PORT_UNUSED",
- altpll_component.port_inclk0 = "PORT_USED",
- altpll_component.port_inclk1 = "PORT_UNUSED",
- altpll_component.port_locked = "PORT_USED",
- altpll_component.port_pfdena = "PORT_UNUSED",
- altpll_component.port_phasecounterselect = "PORT_UNUSED",
- altpll_component.port_phasedone = "PORT_UNUSED",
- altpll_component.port_phasestep = "PORT_UNUSED",
- altpll_component.port_phaseupdown = "PORT_UNUSED",
- altpll_component.port_pllena = "PORT_UNUSED",
- altpll_component.port_scanaclr = "PORT_UNUSED",
- altpll_component.port_scanclk = "PORT_UNUSED",
- altpll_component.port_scanclkena = "PORT_UNUSED",
- altpll_component.port_scandata = "PORT_UNUSED",
- altpll_component.port_scandataout = "PORT_UNUSED",
- altpll_component.port_scandone = "PORT_UNUSED",
- altpll_component.port_scanread = "PORT_UNUSED",
- altpll_component.port_scanwrite = "PORT_UNUSED",
- altpll_component.port_clk0 = "PORT_USED",
- altpll_component.port_clk1 = "PORT_USED",
- altpll_component.port_clk2 = "PORT_UNUSED",
- altpll_component.port_clk3 = "PORT_UNUSED",
- altpll_component.port_clk4 = "PORT_UNUSED",
- altpll_component.port_clk5 = "PORT_UNUSED",
- altpll_component.port_clkena0 = "PORT_UNUSED",
- altpll_component.port_clkena1 = "PORT_UNUSED",
- altpll_component.port_clkena2 = "PORT_UNUSED",
- altpll_component.port_clkena3 = "PORT_UNUSED",
- altpll_component.port_clkena4 = "PORT_UNUSED",
- altpll_component.port_clkena5 = "PORT_UNUSED",
- altpll_component.port_extclk0 = "PORT_UNUSED",
- altpll_component.port_extclk1 = "PORT_UNUSED",
- altpll_component.port_extclk2 = "PORT_UNUSED",
- altpll_component.port_extclk3 = "PORT_UNUSED",
- altpll_component.self_reset_on_loss_lock = "OFF",
- altpll_component.width_clock = 5;
-
-
-endmodule
-
-// ============================================================
-// CNX file retrieval info
-// ============================================================
-// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
-// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
-// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
-// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
-// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
-// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
-// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
-// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
-// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
-// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
-// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
-// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
-// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
-// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
-// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
-// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
-// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
-// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
-// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
-// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
-// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000"
-// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "125.000000"
-// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
-// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
-// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
-// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
-// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
-// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
-// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
-// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
-// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
-// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
-// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
-// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
-// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
-// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
-// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
-// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
-// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
-// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
-// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
-// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
-// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
-// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
-// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
-// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
-// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
-// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
-// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "25.00000000"
-// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "125.00000000"
-// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
-// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
-// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
-// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
-// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
-// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
-// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
-// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
-// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
-// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
-// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps"
-// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
-// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
-// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
-// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
-// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
-// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
-// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
-// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
-// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
-// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
-// Retrieval info: PRIVATE: RECONFIG_FILE STRING "clk_gen.mif"
-// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
-// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
-// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
-// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
-// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
-// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
-// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
-// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
-// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
-// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
-// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
-// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
-// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
-// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
-// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
-// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
-// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
-// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
-// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
-// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
-// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
-// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2"
-// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
-// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
-// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
-// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "2"
-// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
-// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "5"
-// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
-// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
-// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
-// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
-// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
-// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
-// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
-// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
-// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
-// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
-// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
-// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
-// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
-// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
-// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
-// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
-// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
-// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
-// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
-// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.v TRUE
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.ppf TRUE
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.inc FALSE
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.cmp FALSE
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.bsf FALSE
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen_inst.v TRUE
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen_bb.v TRUE
-// Retrieval info: LIB_FILE: altera_mf
-// Retrieval info: CBX_MODULE_PREFIX: ON
+// megafunction wizard: %ALTPLL%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altpll
+
+// ============================================================
+// File Name: clk_gen.v
+// Megafunction Name(s):
+// altpll
+//
+// Simulation Library Files(s):
+// altera_mf
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition
+// ************************************************************
+
+
+//Copyright (C) 1991-2013 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions
+//and other software and tools, and its AMPP partner logic
+//functions, and any output files from any of the foregoing
+//(including device programming or simulation files), and any
+//associated documentation or information are expressly subject
+//to the terms and conditions of the Altera Program License
+//Subscription Agreement, Altera MegaCore Function License
+//Agreement, or other applicable license agreement, including,
+//without limitation, that your use is for the sole purpose of
+//programming logic devices manufactured by Altera and sold by
+//Altera or its authorized distributors. Please refer to the
+//applicable agreement for further details.
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module clk_gen (
+ areset,
+ inclk0,
+ c0,
+ c1,
+ locked);
+
+ input areset;
+ input inclk0;
+ output c0;
+ output c1;
+ output locked;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+ tri0 areset;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+ wire [4:0] sub_wire0;
+ wire sub_wire2;
+ wire [0:0] sub_wire6 = 1'h0;
+ wire [0:0] sub_wire3 = sub_wire0[0:0];
+ wire [1:1] sub_wire1 = sub_wire0[1:1];
+ wire c1 = sub_wire1;
+ wire locked = sub_wire2;
+ wire c0 = sub_wire3;
+ wire sub_wire4 = inclk0;
+ wire [1:0] sub_wire5 = {sub_wire6, sub_wire4};
+
+ altpll altpll_component (
+ .areset (areset),
+ .inclk (sub_wire5),
+ .clk (sub_wire0),
+ .locked (sub_wire2),
+ .activeclock (),
+ .clkbad (),
+ .clkena ({6{1'b1}}),
+ .clkloss (),
+ .clkswitch (1'b0),
+ .configupdate (1'b0),
+ .enable0 (),
+ .enable1 (),
+ .extclk (),
+ .extclkena ({4{1'b1}}),
+ .fbin (1'b1),
+ .fbmimicbidir (),
+ .fbout (),
+ .fref (),
+ .icdrclk (),
+ .pfdena (1'b1),
+ .phasecounterselect ({4{1'b1}}),
+ .phasedone (),
+ .phasestep (1'b1),
+ .phaseupdown (1'b1),
+ .pllena (1'b1),
+ .scanaclr (1'b0),
+ .scanclk (1'b0),
+ .scanclkena (1'b1),
+ .scandata (1'b0),
+ .scandataout (),
+ .scandone (),
+ .scanread (1'b0),
+ .scanwrite (1'b0),
+ .sclkout0 (),
+ .sclkout1 (),
+ .vcooverrange (),
+ .vcounderrange ());
+ defparam
+ altpll_component.bandwidth_type = "AUTO",
+ altpll_component.clk0_divide_by = 25,
+ altpll_component.clk0_duty_cycle = 50,
+ altpll_component.clk0_multiply_by = 12,
+ altpll_component.clk0_phase_shift = "0",
+ altpll_component.clk1_divide_by = 5,
+ altpll_component.clk1_duty_cycle = 50,
+ altpll_component.clk1_multiply_by = 12,
+ altpll_component.clk1_phase_shift = "0",
+ altpll_component.compensate_clock = "CLK0",
+ altpll_component.inclk0_input_frequency = 20000,
+ altpll_component.intended_device_family = "Cyclone IV E",
+ altpll_component.lpm_hint = "CBX_MODULE_PREFIX=clk_gen",
+ altpll_component.lpm_type = "altpll",
+ altpll_component.operation_mode = "NORMAL",
+ altpll_component.pll_type = "AUTO",
+ altpll_component.port_activeclock = "PORT_UNUSED",
+ altpll_component.port_areset = "PORT_USED",
+ altpll_component.port_clkbad0 = "PORT_UNUSED",
+ altpll_component.port_clkbad1 = "PORT_UNUSED",
+ altpll_component.port_clkloss = "PORT_UNUSED",
+ altpll_component.port_clkswitch = "PORT_UNUSED",
+ altpll_component.port_configupdate = "PORT_UNUSED",
+ altpll_component.port_fbin = "PORT_UNUSED",
+ altpll_component.port_inclk0 = "PORT_USED",
+ altpll_component.port_inclk1 = "PORT_UNUSED",
+ altpll_component.port_locked = "PORT_USED",
+ altpll_component.port_pfdena = "PORT_UNUSED",
+ altpll_component.port_phasecounterselect = "PORT_UNUSED",
+ altpll_component.port_phasedone = "PORT_UNUSED",
+ altpll_component.port_phasestep = "PORT_UNUSED",
+ altpll_component.port_phaseupdown = "PORT_UNUSED",
+ altpll_component.port_pllena = "PORT_UNUSED",
+ altpll_component.port_scanaclr = "PORT_UNUSED",
+ altpll_component.port_scanclk = "PORT_UNUSED",
+ altpll_component.port_scanclkena = "PORT_UNUSED",
+ altpll_component.port_scandata = "PORT_UNUSED",
+ altpll_component.port_scandataout = "PORT_UNUSED",
+ altpll_component.port_scandone = "PORT_UNUSED",
+ altpll_component.port_scanread = "PORT_UNUSED",
+ altpll_component.port_scanwrite = "PORT_UNUSED",
+ altpll_component.port_clk0 = "PORT_USED",
+ altpll_component.port_clk1 = "PORT_USED",
+ altpll_component.port_clk2 = "PORT_UNUSED",
+ altpll_component.port_clk3 = "PORT_UNUSED",
+ altpll_component.port_clk4 = "PORT_UNUSED",
+ altpll_component.port_clk5 = "PORT_UNUSED",
+ altpll_component.port_clkena0 = "PORT_UNUSED",
+ altpll_component.port_clkena1 = "PORT_UNUSED",
+ altpll_component.port_clkena2 = "PORT_UNUSED",
+ altpll_component.port_clkena3 = "PORT_UNUSED",
+ altpll_component.port_clkena4 = "PORT_UNUSED",
+ altpll_component.port_clkena5 = "PORT_UNUSED",
+ altpll_component.port_extclk0 = "PORT_UNUSED",
+ altpll_component.port_extclk1 = "PORT_UNUSED",
+ altpll_component.port_extclk2 = "PORT_UNUSED",
+ altpll_component.port_extclk3 = "PORT_UNUSED",
+ altpll_component.self_reset_on_loss_lock = "OFF",
+ altpll_component.width_clock = 5;
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
+// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
+// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
+// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
+// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
+// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
+// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
+// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
+// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
+// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
+// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
+// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
+// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
+// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
+// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
+// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
+// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "24.000000"
+// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "120.000000"
+// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
+// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
+// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
+// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
+// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
+// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
+// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
+// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
+// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
+// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
+// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
+// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
+// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
+// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
+// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
+// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "24.00000000"
+// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "120.00000000"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
+// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
+// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
+// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
+// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps"
+// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
+// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
+// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
+// Retrieval info: PRIVATE: RECONFIG_FILE STRING "clk_gen.mif"
+// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
+// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
+// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
+// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
+// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
+// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
+// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
+// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
+// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
+// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
+// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
+// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
+// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
+// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
+// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
+// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
+// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
+// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
+// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
+// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "25"
+// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
+// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "12"
+// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
+// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "5"
+// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
+// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "12"
+// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
+// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
+// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
+// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
+// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
+// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
+// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
+// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
+// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
+// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
+// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
+// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
+// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
+// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
+// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
+// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
+// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
+// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
+// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.ppf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.bsf FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen_inst.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen_bb.v TRUE
+// Retrieval info: LIB_FILE: altera_mf
+// Retrieval info: CBX_MODULE_PREFIX: ON
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v
index 588471a..fc20c78 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v
@@ -1,232 +1,232 @@
-// megafunction wizard: %ALTPLL%VBB%
-// GENERATION: STANDARD
-// VERSION: WM1.0
-// MODULE: altpll
-
-// ============================================================
-// File Name: clk_gen.v
-// Megafunction Name(s):
-// altpll
-//
-// Simulation Library Files(s):
-// altera_mf
-// ============================================================
-// ************************************************************
-// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
-//
-// 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version
-// ************************************************************
-
-//Copyright (C) 1991-2013 Altera Corporation
-//Your use of Altera Corporation's design tools, logic functions
-//and other software and tools, and its AMPP partner logic
-//functions, and any output files from any of the foregoing
-//(including device programming or simulation files), and any
-//associated documentation or information are expressly subject
-//to the terms and conditions of the Altera Program License
-//Subscription Agreement, Altera MegaCore Function License
-//Agreement, or other applicable license agreement, including,
-//without limitation, that your use is for the sole purpose of
-//programming logic devices manufactured by Altera and sold by
-//Altera or its authorized distributors. Please refer to the
-//applicable agreement for further details.
-
-module clk_gen (
- areset,
- inclk0,
- c0,
- c1,
- locked);
-
- input areset;
- input inclk0;
- output c0;
- output c1;
- output locked;
-`ifndef ALTERA_RESERVED_QIS
-// synopsys translate_off
-`endif
- tri0 areset;
-`ifndef ALTERA_RESERVED_QIS
-// synopsys translate_on
-`endif
-
-endmodule
-
-// ============================================================
-// CNX file retrieval info
-// ============================================================
-// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
-// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
-// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
-// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
-// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
-// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
-// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
-// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
-// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
-// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
-// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
-// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
-// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
-// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
-// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
-// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
-// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
-// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
-// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
-// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
-// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000"
-// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "125.000000"
-// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
-// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
-// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
-// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
-// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
-// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
-// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
-// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
-// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
-// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
-// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
-// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
-// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
-// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
-// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
-// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
-// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
-// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
-// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
-// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
-// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
-// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
-// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
-// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
-// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
-// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
-// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "25.00000000"
-// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "125.00000000"
-// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
-// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
-// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
-// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
-// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
-// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
-// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
-// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
-// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
-// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
-// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps"
-// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
-// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
-// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
-// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
-// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
-// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
-// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
-// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
-// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
-// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
-// Retrieval info: PRIVATE: RECONFIG_FILE STRING "clk_gen.mif"
-// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
-// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
-// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
-// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
-// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
-// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
-// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
-// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
-// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
-// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
-// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
-// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
-// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
-// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
-// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
-// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
-// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
-// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
-// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
-// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
-// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
-// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2"
-// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
-// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
-// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
-// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "2"
-// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
-// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "5"
-// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
-// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
-// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
-// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
-// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
-// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
-// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
-// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
-// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
-// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
-// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
-// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
-// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
-// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
-// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
-// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
-// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
-// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
-// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
-// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
-// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.v TRUE
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.ppf TRUE
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.inc FALSE
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.cmp FALSE
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.bsf FALSE
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen_inst.v TRUE
-// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen_bb.v TRUE
-// Retrieval info: LIB_FILE: altera_mf
-// Retrieval info: CBX_MODULE_PREFIX: ON
+// megafunction wizard: %ALTPLL%VBB%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altpll
+
+// ============================================================
+// File Name: clk_gen.v
+// Megafunction Name(s):
+// altpll
+//
+// Simulation Library Files(s):
+// altera_mf
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition
+// ************************************************************
+
+//Copyright (C) 1991-2013 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions
+//and other software and tools, and its AMPP partner logic
+//functions, and any output files from any of the foregoing
+//(including device programming or simulation files), and any
+//associated documentation or information are expressly subject
+//to the terms and conditions of the Altera Program License
+//Subscription Agreement, Altera MegaCore Function License
+//Agreement, or other applicable license agreement, including,
+//without limitation, that your use is for the sole purpose of
+//programming logic devices manufactured by Altera and sold by
+//Altera or its authorized distributors. Please refer to the
+//applicable agreement for further details.
+
+module clk_gen (
+ areset,
+ inclk0,
+ c0,
+ c1,
+ locked);
+
+ input areset;
+ input inclk0;
+ output c0;
+ output c1;
+ output locked;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+ tri0 areset;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
+// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
+// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
+// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
+// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
+// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
+// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
+// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
+// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
+// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
+// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
+// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
+// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
+// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
+// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
+// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
+// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "24.000000"
+// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "120.000000"
+// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
+// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
+// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
+// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
+// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
+// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
+// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
+// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
+// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
+// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
+// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
+// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
+// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
+// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
+// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
+// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "24.00000000"
+// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "120.00000000"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
+// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
+// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
+// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
+// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps"
+// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
+// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
+// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
+// Retrieval info: PRIVATE: RECONFIG_FILE STRING "clk_gen.mif"
+// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
+// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
+// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
+// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
+// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
+// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
+// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
+// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
+// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
+// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
+// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
+// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
+// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
+// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
+// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
+// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
+// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
+// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
+// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
+// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "25"
+// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
+// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "12"
+// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
+// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "5"
+// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
+// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "12"
+// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
+// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
+// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
+// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
+// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
+// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
+// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
+// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
+// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
+// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
+// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
+// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
+// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
+// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
+// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
+// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
+// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
+// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
+// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.ppf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.bsf FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen_inst.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen_bb.v TRUE
+// Retrieval info: LIB_FILE: altera_mf
+// Retrieval info: CBX_MODULE_PREFIX: ON
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v
index bad6ce7..8d0a6fe 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v
@@ -1,7 +1,7 @@
-clk_gen clk_gen_inst (
- .areset ( areset_sig ),
- .inclk0 ( inclk0_sig ),
- .c0 ( c0_sig ),
- .c1 ( c1_sig ),
- .locked ( locked_sig )
- );
+clk_gen clk_gen_inst (
+ .areset ( areset_sig ),
+ .inclk0 ( inclk0_sig ),
+ .c0 ( c0_sig ),
+ .c1 ( c1_sig ),
+ .locked ( locked_sig )
+ );
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft
index fc8984c..41865e2 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft
@@ -1,6 +1,6 @@
-set tool_name "ModelSim (Verilog)"
-set corner_file_list {
- {{"Slow -8 1.2V 85 Model"} {hdmi_colorbar_8_1200mv_85c_slow.vo hdmi_colorbar_8_1200mv_85c_v_slow.sdo}}
- {{"Slow -8 1.2V 0 Model"} {hdmi_colorbar_8_1200mv_0c_slow.vo hdmi_colorbar_8_1200mv_0c_v_slow.sdo}}
- {{"Fast -M 1.2V 0 Model"} {hdmi_colorbar_min_1200mv_0c_fast.vo hdmi_colorbar_min_1200mv_0c_v_fast.sdo}}
-}
+set tool_name "ModelSim (Verilog)"
+set corner_file_list {
+ {{"Slow -8 1.2V 85 Model"} {hdmi_colorbar_8_1200mv_85c_slow.vo hdmi_colorbar_8_1200mv_85c_v_slow.sdo}}
+ {{"Slow -8 1.2V 0 Model"} {hdmi_colorbar_8_1200mv_0c_slow.vo hdmi_colorbar_8_1200mv_0c_v_slow.sdo}}
+ {{"Fast -M 1.2V 0 Model"} {hdmi_colorbar_min_1200mv_0c_fast.vo hdmi_colorbar_min_1200mv_0c_v_fast.sdo}}
+}
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo
index cb63408..55cd09e 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo
@@ -1,11443 +1,11443 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-// VENDOR "Altera"
-// PROGRAM "Quartus II 64-Bit"
-// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version"
-
-// DATE "06/02/2023 04:17:19"
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This Verilog file should be used for ModelSim (Verilog) only
-//
-
-`timescale 1 ps/ 1 ps
-
-module hdmi_colorbar (
- sys_clk,
- sys_rst_n,
- ddc_scl,
- ddc_sda,
- tmds_clk_p,
- tmds_clk_n,
- tmds_data_p,
- tmds_data_n);
-input sys_clk;
-input sys_rst_n;
-output ddc_scl;
-output ddc_sda;
-output tmds_clk_p;
-output tmds_clk_n;
-output [2:0] tmds_data_p;
-output [2:0] tmds_data_n;
-
-// Design Ports Information
-// ddc_scl => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default
-// ddc_sda => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_clk_p => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_clk_n => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_p[0] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_p[1] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_p[2] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_n[0] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_n[1] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_n[2] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default
-// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
-// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
-
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-tri1 devclrn;
-tri1 devpor;
-tri1 devoe;
-// synopsys translate_off
-initial $sdf_annotate("hdmi_colorbar_v.sdo");
-// synopsys translate_on
-
-wire \hdmi_ctrl_inst|encode_inst0|Add20~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~7 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~7 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~7 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~7 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~7 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~6_combout ;
-wire \vga_ctrl_inst|Add0~2_combout ;
-wire \vga_ctrl_inst|Add0~10_combout ;
-wire \vga_ctrl_inst|Add1~2_combout ;
-wire \vga_ctrl_inst|Add1~6_combout ;
-wire \vga_ctrl_inst|Add1~8_combout ;
-wire \vga_ctrl_inst|Add1~10_combout ;
-wire \vga_ctrl_inst|Add1~12_combout ;
-wire \vga_ctrl_inst|Add1~14_combout ;
-wire \vga_ctrl_inst|Add1~16_combout ;
-wire \vga_ctrl_inst|Add1~18_combout ;
-wire \vga_ctrl_inst|Add1~20_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~16_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add12~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~14_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~16_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~10_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~16_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add14~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~3_combout ;
-wire \vga_ctrl_inst|pix_data_req~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~5_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~5_combout ;
-wire \vga_ctrl_inst|pix_x[10]~1_combout ;
-wire \vga_pic_inst|always0~1_combout ;
-wire \vga_pic_inst|always0~2_combout ;
-wire \vga_pic_inst|pix_data[9]~14_combout ;
-wire \vga_pic_inst|pix_data~16_combout ;
-wire \vga_ctrl_inst|pix_data_req~8_combout ;
-wire \vga_ctrl_inst|cnt_v[10]~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ;
-wire \vga_pic_inst|LessThan10~0_combout ;
-wire \vga_pic_inst|pix_data~22_combout ;
-wire \vga_pic_inst|pix_data~23_combout ;
-wire \vga_pic_inst|LessThan14~1_combout ;
-wire \vga_pic_inst|pix_data[13]~24_combout ;
-wire \vga_pic_inst|pix_data~25_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~5_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~8_combout ;
-wire \vga_pic_inst|pix_data~37_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ;
-wire \sys_clk~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~0_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
-wire \sys_rst_n~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
-wire \rst_n~0_combout ;
-wire \rst_n~0clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~1 ;
-wire \vga_ctrl_inst|Add0~3 ;
-wire \vga_ctrl_inst|Add0~5 ;
-wire \vga_ctrl_inst|Add0~6_combout ;
-wire \vga_ctrl_inst|Add0~7 ;
-wire \vga_ctrl_inst|Add0~8_combout ;
-wire \vga_ctrl_inst|Add0~9 ;
-wire \vga_ctrl_inst|Add0~11 ;
-wire \vga_ctrl_inst|Add0~12_combout ;
-wire \vga_ctrl_inst|Add0~13 ;
-wire \vga_ctrl_inst|Add0~14_combout ;
-wire \vga_ctrl_inst|Add0~4_combout ;
-wire \vga_ctrl_inst|Equal0~0_combout ;
-wire \vga_ctrl_inst|Add0~15 ;
-wire \vga_ctrl_inst|Add0~16_combout ;
-wire \vga_ctrl_inst|Add0~19 ;
-wire \vga_ctrl_inst|Add0~20_combout ;
-wire \vga_ctrl_inst|Add0~21 ;
-wire \vga_ctrl_inst|Add0~22_combout ;
-wire \vga_ctrl_inst|Equal0~1_combout ;
-wire \vga_ctrl_inst|cnt_h~0_combout ;
-wire \vga_ctrl_inst|Equal0~2_combout ;
-wire \vga_ctrl_inst|cnt_h~2_combout ;
-wire \vga_ctrl_inst|Add0~17 ;
-wire \vga_ctrl_inst|Add0~18_combout ;
-wire \vga_ctrl_inst|cnt_h~1_combout ;
-wire \vga_ctrl_inst|LessThan4~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ;
-wire \vga_ctrl_inst|Add2~1_cout ;
-wire \vga_ctrl_inst|Add2~3_cout ;
-wire \vga_ctrl_inst|Add2~5_cout ;
-wire \vga_ctrl_inst|Add2~7_cout ;
-wire \vga_ctrl_inst|Add2~9_cout ;
-wire \vga_ctrl_inst|Add2~11 ;
-wire \vga_ctrl_inst|Add2~13 ;
-wire \vga_ctrl_inst|Add2~15 ;
-wire \vga_ctrl_inst|Add2~17 ;
-wire \vga_ctrl_inst|Add2~18_combout ;
-wire \vga_ctrl_inst|Add2~16_combout ;
-wire \vga_ctrl_inst|Add2~14_combout ;
-wire \vga_pic_inst|pix_data~12_combout ;
-wire \vga_ctrl_inst|Add2~12_combout ;
-wire \vga_ctrl_inst|pix_data_req~5_combout ;
-wire \vga_ctrl_inst|Equal0~3_combout ;
-wire \vga_ctrl_inst|cnt_v[7]~7_combout ;
-wire \vga_ctrl_inst|cnt_v[5]~10_combout ;
-wire \vga_ctrl_inst|cnt_v[8]~6_combout ;
-wire \vga_ctrl_inst|always1~0_combout ;
-wire \vga_ctrl_inst|cnt_v[1]~1_combout ;
-wire \vga_ctrl_inst|cnt_v[4]~5_combout ;
-wire \vga_ctrl_inst|always1~1_combout ;
-wire \vga_ctrl_inst|Add1~0_combout ;
-wire \vga_ctrl_inst|cnt_v[0]~2_combout ;
-wire \vga_ctrl_inst|cnt_v[3]~3_combout ;
-wire \vga_ctrl_inst|always1~2_combout ;
-wire \vga_ctrl_inst|cnt_v[11]~0_combout ;
-wire \vga_ctrl_inst|cnt_v[9]~9_combout ;
-wire \vga_ctrl_inst|cnt_v[6]~8_combout ;
-wire \vga_ctrl_inst|Add1~1 ;
-wire \vga_ctrl_inst|Add1~3 ;
-wire \vga_ctrl_inst|Add1~4_combout ;
-wire \vga_ctrl_inst|cnt_v[2]~4_combout ;
-wire \vga_ctrl_inst|Add1~5 ;
-wire \vga_ctrl_inst|Add1~7 ;
-wire \vga_ctrl_inst|Add1~9 ;
-wire \vga_ctrl_inst|Add1~11 ;
-wire \vga_ctrl_inst|Add1~13 ;
-wire \vga_ctrl_inst|Add1~15 ;
-wire \vga_ctrl_inst|Add1~17 ;
-wire \vga_ctrl_inst|Add1~19 ;
-wire \vga_ctrl_inst|Add1~21 ;
-wire \vga_ctrl_inst|Add1~22_combout ;
-wire \vga_ctrl_inst|cnt_v[11]~11_combout ;
-wire \vga_ctrl_inst|pix_data_req~2_combout ;
-wire \vga_ctrl_inst|pix_data_req~4_combout ;
-wire \vga_ctrl_inst|pix_data_req~6_combout ;
-wire \vga_ctrl_inst|pix_data_req~7_combout ;
-wire \vga_pic_inst|pix_data[13]~11_combout ;
-wire \vga_pic_inst|always0~0_combout ;
-wire \vga_pic_inst|pix_data~13_combout ;
-wire \vga_pic_inst|pix_data~17_combout ;
-wire \vga_pic_inst|pix_data~34_combout ;
-wire \vga_pic_inst|pix_data[13]~8_combout ;
-wire \vga_pic_inst|pix_data[13]~9_combout ;
-wire \vga_pic_inst|pix_data[13]~10_combout ;
-wire \vga_pic_inst|pix_data~18_combout ;
-wire \vga_ctrl_inst|Add2~19 ;
-wire \vga_ctrl_inst|Add2~20_combout ;
-wire \vga_ctrl_inst|Add2~10_combout ;
-wire \vga_pic_inst|LessThan17~4_combout ;
-wire \vga_pic_inst|LessThan17~3_combout ;
-wire \vga_pic_inst|LessThan14~0_combout ;
-wire \vga_pic_inst|pix_data~19_combout ;
-wire \vga_pic_inst|pix_data~20_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add6~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ;
-wire \vga_ctrl_inst|LessThan6~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~1_combout ;
-wire \vga_ctrl_inst|rgb[1]~0_combout ;
-wire \vga_ctrl_inst|rgb[2]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add14~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~10_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add12~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add14~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~14_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add4~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|de_reg1~q ;
-wire \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|de_reg2~q ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_2~combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ;
-wire \vga_ctrl_inst|LessThan0~0_combout ;
-wire \vga_ctrl_inst|LessThan0~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c0_reg1~q ;
-wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~q ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ;
-wire \vga_ctrl_inst|LessThan1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c1_reg1~q ;
-wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~q ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~7_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ;
-wire \vga_pic_inst|LessThan17~2_combout ;
-wire \vga_pic_inst|pix_data[9]~15_combout ;
-wire \vga_pic_inst|pix_data~35_combout ;
-wire \vga_pic_inst|pix_data~36_combout ;
-wire \vga_pic_inst|pix_data~21_combout ;
-wire \vga_pic_inst|pix_data~26_combout ;
-wire \vga_ctrl_inst|pix_x[11]~0_combout ;
-wire \vga_pic_inst|pix_data~27_combout ;
-wire \vga_ctrl_inst|rgb[10]~2_combout ;
-wire \vga_pic_inst|pix_data~29_combout ;
-wire \vga_pic_inst|pix_data~30_combout ;
-wire \vga_pic_inst|pix_data~31_combout ;
-wire \vga_ctrl_inst|rgb[6]~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add5~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add14~1_combout ;
-wire \vga_pic_inst|pix_data~28_combout ;
-wire \vga_ctrl_inst|rgb[7]~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add13~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add13~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add14~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add14~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~10_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_2~combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~7_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ;
-wire \vga_pic_inst|pix_data~33_combout ;
-wire \vga_ctrl_inst|rgb[13]~6_combout ;
-wire \vga_pic_inst|pix_data~32_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add6~0_combout ;
-wire \vga_ctrl_inst|rgb[12]~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add12~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add14~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add12~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_2~combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~14_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~7 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~7_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ;
-wire [9:0] \hdmi_ctrl_inst|encode_inst0|data_out ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [11:0] \vga_ctrl_inst|cnt_v ;
-wire [11:0] \vga_ctrl_inst|cnt_h ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s ;
-wire [7:0] \hdmi_ctrl_inst|encode_inst0|data_in_reg ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [8:0] \hdmi_ctrl_inst|encode_inst0|q_m_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n1 ;
-wire [15:0] \vga_pic_inst|pix_data ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s ;
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst0|data_in_n1 ;
-wire [2:0] \hdmi_ctrl_inst|par_to_ser_inst0|cnt ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n0 ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [4:0] \hdmi_ctrl_inst|encode_inst0|cnt ;
-wire [4:0] \hdmi_ctrl_inst|encode_inst1|cnt ;
-wire [9:0] \hdmi_ctrl_inst|encode_inst1|data_out ;
-wire [8:0] \hdmi_ctrl_inst|encode_inst1|q_m_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n0 ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n1 ;
-wire [7:0] \hdmi_ctrl_inst|encode_inst1|data_in_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst1|data_in_n1 ;
-wire [4:0] \hdmi_ctrl_inst|encode_inst2|cnt ;
-wire [9:0] \hdmi_ctrl_inst|encode_inst2|data_out ;
-wire [8:0] \hdmi_ctrl_inst|encode_inst2|q_m_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n0 ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n1 ;
-wire [7:0] \hdmi_ctrl_inst|encode_inst2|data_in_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst2|data_in_n1 ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
-
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
-
-// Location: PLL_2
-cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
- .areset(!\sys_rst_n~input_o ),
- .pfdena(vcc),
- .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .phaseupdown(gnd),
- .phasestep(gnd),
- .scandata(gnd),
- .scanclk(gnd),
- .scanclkena(vcc),
- .configupdate(gnd),
- .clkswitch(gnd),
- .inclk({gnd,\sys_clk~input_o }),
- .phasecounterselect(3'b000),
- .phasedone(),
- .scandataout(),
- .scandone(),
- .activeclock(),
- .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .vcooverrange(),
- .vcounderrange(),
- .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
- .clkbad());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 10;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 10;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "even";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 5;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 10;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 6891;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 250;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N7
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N9
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N13
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add20~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .lut_mask = 16'h66BB;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// ((\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add20~1 ))))
-// \hdmi_ctrl_inst|encode_inst0|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add20~1 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add20~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add20~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .lut_mask = 16'h692B;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add20~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add20~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add20~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add20~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add20~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add17~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst0|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst0|Add17~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .lut_mask = 16'h3C3F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~7 )
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .lut_mask = 16'hC3C3;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add23~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .lut_mask = 16'h66DD;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// (\hdmi_ctrl_inst|encode_inst0|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
-// \hdmi_ctrl_inst|encode_inst0|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
-// ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add23~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add23~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .lut_mask = 16'h694D;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add23~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst0|Add23~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add23~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add23~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .lut_mask = 16'h5A05;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add23~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add23~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add15~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst0|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst0|Add15~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add15~5
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] &
-// ((!\hdmi_ctrl_inst|encode_inst0|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~7 )
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .lut_mask = 16'hC3C3;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add19~3 & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add19~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add19~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add19~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .lut_mask = 16'hA50A;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add22~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add22~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add22~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout &
-// (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout &
-// ((!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~12_combout &
-// (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout &
-// (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout &
-// ((!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N31
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add20~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .lut_mask = 16'h66BB;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add20~1 ))))
-// \hdmi_ctrl_inst|encode_inst1|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add20~1 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add20~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add20~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .lut_mask = 16'h692B;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add20~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add20~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add20~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .lut_mask = 16'h3CCF;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add20~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add20~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add17~1 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add17~1 )
-// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1
-// [2] & !\hdmi_ctrl_inst|encode_inst1|Add17~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~7 )
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .lut_mask = 16'hA5A5;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add23~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .lut_mask = 16'h66DD;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add23~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add23~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add23~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add23~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .lut_mask = 16'h3C03;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add23~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add23~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (\hdmi_ctrl_inst|encode_inst1|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|Add15~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & !\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst1|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add15~5 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((!\hdmi_ctrl_inst|encode_inst1|Add15~5 )
-// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~7 )
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .lut_mask = 16'hA5A5;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst1|cnt [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add19~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add19~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add19~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add19~3 & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add19~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add19~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add19~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .lut_mask = 16'hA50A;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~6_combout = \hdmi_ctrl_inst|encode_inst1|Add19~5 $ (\hdmi_ctrl_inst|encode_inst1|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add19~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC)))
-// \hdmi_ctrl_inst|encode_inst1|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst1|cnt [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add22~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .lut_mask = 16'h99EE;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
-// \hdmi_ctrl_inst|encode_inst1|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add22~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add22~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .lut_mask = 16'hA505;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add22~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add22~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add22~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add20~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .lut_mask = 16'h66BB;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add20~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add20~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add20~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .lut_mask = 16'h3CCF;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [0] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add17~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst2|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add17~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst2|Add17~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add23~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .lut_mask = 16'h66DD;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add23~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst2|Add23~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add23~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add23~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .lut_mask = 16'h3C03;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add15~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst2|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add15~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0
-// [2] & !\hdmi_ctrl_inst|encode_inst2|Add15~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add15~5
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] &
-// ((!\hdmi_ctrl_inst|encode_inst2|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~8_combout = \hdmi_ctrl_inst|encode_inst2|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add19~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add19~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .lut_mask = 16'h3C3F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add19~3 & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add19~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add19~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add19~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .lut_mask = 16'hA50A;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~6_combout = \hdmi_ctrl_inst|encode_inst2|Add19~5 $ (\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add19~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC)))
-// \hdmi_ctrl_inst|encode_inst2|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1]) # (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add22~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .lut_mask = 16'h99EE;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
-// \hdmi_ctrl_inst|encode_inst2|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|cnt [2] & !\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add22~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add22~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .lut_mask = 16'hC303;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add22~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add22~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add22~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~6_combout = \hdmi_ctrl_inst|encode_inst2|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add22~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N11
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y22_N19
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
-// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~1 ),
- .combout(\vga_ctrl_inst|Add0~2_combout ),
- .cout(\vga_ctrl_inst|Add0~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
-// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
-
- .dataa(\vga_ctrl_inst|cnt_h [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~9 ),
- .combout(\vga_ctrl_inst|Add0~10_combout ),
- .cout(\vga_ctrl_inst|Add0~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
-// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~1 ),
- .combout(\vga_ctrl_inst|Add1~2_combout ),
- .cout(\vga_ctrl_inst|Add1~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
-// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
-
- .dataa(\vga_ctrl_inst|cnt_v [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~5 ),
- .combout(\vga_ctrl_inst|Add1~6_combout ),
- .cout(\vga_ctrl_inst|Add1~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
-// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~7 ),
- .combout(\vga_ctrl_inst|Add1~8_combout ),
- .cout(\vga_ctrl_inst|Add1~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
-// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
-
- .dataa(\vga_ctrl_inst|cnt_v [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~9 ),
- .combout(\vga_ctrl_inst|Add1~10_combout ),
- .cout(\vga_ctrl_inst|Add1~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
-// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~11 ),
- .combout(\vga_ctrl_inst|Add1~12_combout ),
- .cout(\vga_ctrl_inst|Add1~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
-// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
-
- .dataa(\vga_ctrl_inst|cnt_v [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~13 ),
- .combout(\vga_ctrl_inst|Add1~14_combout ),
- .cout(\vga_ctrl_inst|Add1~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
-// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~15 ),
- .combout(\vga_ctrl_inst|Add1~16_combout ),
- .cout(\vga_ctrl_inst|Add1~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~18_combout = (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|Add1~17 )) # (!\vga_ctrl_inst|cnt_v [9] & ((\vga_ctrl_inst|Add1~17 ) # (GND)))
-// \vga_ctrl_inst|Add1~19 = CARRY((!\vga_ctrl_inst|Add1~17 ) # (!\vga_ctrl_inst|cnt_v [9]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~17 ),
- .combout(\vga_ctrl_inst|Add1~18_combout ),
- .cout(\vga_ctrl_inst|Add1~19 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~20 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~20_combout = (\vga_ctrl_inst|cnt_v [10] & (\vga_ctrl_inst|Add1~19 $ (GND))) # (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|Add1~19 & VCC))
-// \vga_ctrl_inst|Add1~21 = CARRY((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Add1~19 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [10]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~19 ),
- .combout(\vga_ctrl_inst|Add1~20_combout ),
- .cout(\vga_ctrl_inst|Add1~21 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~20 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~20 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N11
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .lut_mask = 16'h00F0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N15
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .lut_mask = 16'h0A8E;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|cnt [0])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N27
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N19
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .lut_mask = 16'h4F04;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N31
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]) # (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .lut_mask = 16'hFFF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .lut_mask = 16'hCCE2;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~0_combout
-// & (((\hdmi_ctrl_inst|encode_inst0|Add20~6_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .lut_mask = 16'hD8AA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .lut_mask = 16'hF2C2;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~3_combout
-// & (\hdmi_ctrl_inst|encode_inst0|Add20~4_combout & ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ))) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .lut_mask = 16'h3210;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst0|Add20~2_combout )) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .lut_mask = 16'hFA0C;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~7_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~7_combout
-// & (((\hdmi_ctrl_inst|encode_inst0|Add17~4_combout & \hdmi_ctrl_inst|encode_inst0|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .lut_mask = 16'hD8AA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ) # ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add23~0_combout & !\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .lut_mask = 16'hF0AC;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~12 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst0|Add20~0_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .lut_mask = 16'hD8AA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~16 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|cnt [0])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .lut_mask = 16'h5F0A;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .lut_mask = 16'h0F00;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add12~1_combout = (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] & \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .lut_mask = 16'h3300;
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N7
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~2_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .lut_mask = 16'h995A;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .lut_mask = 16'hACAC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .lut_mask = 16'hAAE4;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~0_combout
-// & (((\hdmi_ctrl_inst|encode_inst1|Add20~6_combout & \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .lut_mask = 16'hACF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~5_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~4_combout & (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .lut_mask = 16'hA4AE;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .lut_mask = 16'hF8F8;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .lut_mask = 16'hAF44;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & (((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~7_combout &
-// (\hdmi_ctrl_inst|encode_inst1|Add19~2_combout & (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .lut_mask = 16'h2CEC;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~14 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .lut_mask = 16'hE2CC;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~16 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|cnt [0])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .lut_mask = 16'h3F0C;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .lut_mask = 16'h00AA;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N31
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N1
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~2_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [2] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .lut_mask = 16'hA53C;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add19~6_combout )) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .lut_mask = 16'hFAFC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~4_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .lut_mask = 16'hE3E0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~3_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~3_combout
-// & (((\hdmi_ctrl_inst|encode_inst2|Add20~4_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .lut_mask = 16'hACF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2])))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add22~2_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .lut_mask = 16'hAA4E;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~10 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & (((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~9_combout &
-// (\hdmi_ctrl_inst|encode_inst2|Add19~2_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .lut_mask = 16'h3AF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~12 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (\hdmi_ctrl_inst|encode_inst2|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add22~0_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .lut_mask = 16'hAA72;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~16 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|cnt [0]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .lut_mask = 16'h0FCC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .lut_mask = 16'hC00C;
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N25
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~2_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .lut_mask = 16'h939C;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .lut_mask = 16'hF303;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|cnt_v [8])))
-
- .dataa(\vga_ctrl_inst|cnt_v [9]),
- .datab(\vga_ctrl_inst|cnt_v [7]),
- .datac(\vga_ctrl_inst|cnt_v [6]),
- .datad(\vga_ctrl_inst|cnt_v [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N31
-dffeas \vga_ctrl_inst|cnt_v[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[10]~12_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[10] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9]))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|always1~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'hA200;
-defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~5_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .lut_mask = 16'h995A;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N19
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N31
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N27
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [7])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N21
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~4_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .lut_mask = 16'hA53C;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N9
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~5_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .lut_mask = 16'hC366;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N11
-dffeas \vga_ctrl_inst|cnt_h[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|pix_x[10]~1 (
-// Equation(s):
-// \vga_ctrl_inst|pix_x[10]~1_combout = (\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~18_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_x[10]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_x[10]~1 .lut_mask = 16'hFF0F;
-defparam \vga_ctrl_inst|pix_x[10]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N10
-cycloneive_lcell_comb \vga_pic_inst|always0~1 (
-// Equation(s):
-// \vga_pic_inst|always0~1_combout = (\vga_ctrl_inst|Add2~14_combout ) # ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|always0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|always0~1 .lut_mask = 16'hFFAF;
-defparam \vga_pic_inst|always0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N12
-cycloneive_lcell_comb \vga_pic_inst|always0~2 (
-// Equation(s):
-// \vga_pic_inst|always0~2_combout = (\vga_pic_inst|always0~1_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_pic_inst|LessThan17~2_combout )))
-
- .dataa(\vga_pic_inst|always0~1_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|LessThan17~2_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|always0~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|always0~2 .lut_mask = 16'hFFFE;
-defparam \vga_pic_inst|always0~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N6
-cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~14 (
-// Equation(s):
-// \vga_pic_inst|pix_data[9]~14_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~14_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[9]~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9]~14 .lut_mask = 16'h00F0;
-defparam \vga_pic_inst|pix_data[9]~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
-// Equation(s):
-// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & \vga_pic_inst|pix_data[13]~9_combout )))
-
- .dataa(\vga_pic_inst|always0~2_combout ),
- .datab(\vga_pic_inst|pix_data[9]~15_combout ),
- .datac(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .datad(\vga_pic_inst|pix_data[13]~9_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'h0200;
-defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~8 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~8_combout = (!\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|cnt_v [10])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [11]),
- .datac(\vga_ctrl_inst|cnt_v [10]),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~8 .lut_mask = 16'h0303;
-defparam \vga_ctrl_inst|pix_data_req~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[10]~12 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[10]~12_combout = (\vga_ctrl_inst|Add1~20_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [10])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~20_combout & (!\vga_ctrl_inst|Equal0~3_combout
-// & (\vga_ctrl_inst|cnt_v [10])))
-
- .dataa(\vga_ctrl_inst|Add1~20_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [10]),
- .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[10]~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[10]~12 .lut_mask = 16'h30BA;
-defparam \vga_ctrl_inst|cnt_v[10]~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .lut_mask = 16'h3C3C;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N23
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .lut_mask = 16'hA0A0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N22
-cycloneive_lcell_comb \vga_pic_inst|LessThan10~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan10~0_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|LessThan17~2_combout ) # (!\vga_ctrl_inst|Add2~14_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_pic_inst|LessThan17~2_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan10~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan10~0 .lut_mask = 16'h080A;
-defparam \vga_pic_inst|LessThan10~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
-// Equation(s):
-// \vga_pic_inst|pix_data~22_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h00F0;
-defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
-// Equation(s):
-// \vga_pic_inst|pix_data~23_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_pic_inst|pix_data~22_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_pic_inst|pix_data~22_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~23_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'h0400;
-defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N20
-cycloneive_lcell_comb \vga_pic_inst|LessThan14~1 (
-// Equation(s):
-// \vga_pic_inst|LessThan14~1_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan14~1 .lut_mask = 16'hAA00;
-defparam \vga_pic_inst|LessThan14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~24 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~24_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_pic_inst|LessThan14~1_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_pic_inst|LessThan14~1_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~24_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~24 .lut_mask = 16'h0002;
-defparam \vga_pic_inst|pix_data[13]~24 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N8
-cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
-// Equation(s):
-// \vga_pic_inst|pix_data~25_combout = (!\vga_ctrl_inst|pix_x[10]~1_combout & ((\vga_pic_inst|pix_data~23_combout ) # ((\vga_pic_inst|LessThan10~0_combout & !\vga_pic_inst|pix_data[13]~24_combout ))))
-
- .dataa(\vga_pic_inst|LessThan10~0_combout ),
- .datab(\vga_ctrl_inst|pix_x[10]~1_combout ),
- .datac(\vga_pic_inst|pix_data[13]~24_combout ),
- .datad(\vga_pic_inst|pix_data~23_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~25_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h3302;
-defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .lut_mask = 16'hC33C;
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~5_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [7] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .lut_mask = 16'h9A56;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N9
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .lut_mask = 16'hC0C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst2|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .lut_mask = 16'h33CC;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N23
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .lut_mask = 16'h8888;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .lut_mask = 16'h8D8D;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [7] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .lut_mask = 16'hC33C;
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~6_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
-// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .lut_mask = 16'hEB41;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .lut_mask = 16'hB1B1;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N14
-cycloneive_lcell_comb \vga_pic_inst|pix_data~37 (
-// Equation(s):
-// \vga_pic_inst|pix_data~37_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~23_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
-
- .dataa(\vga_pic_inst|pix_data~16_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data~23_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~37_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~37 .lut_mask = 16'h7555;
-defparam \vga_pic_inst|pix_data~37 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: CLKCTRL_G8
-cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~2_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~2_combout
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .lut_mask = 16'hAAAA;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~2_combout
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .lut_mask = 16'hAAAA;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~5_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~4_combout
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .lut_mask = 16'hCCCC;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~5_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~5_combout
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .lut_mask = 16'hAAAA;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y13_N16
-cycloneive_io_obuf \ddc_scl~output (
- .i(vcc),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(ddc_scl),
- .obar());
-// synopsys translate_off
-defparam \ddc_scl~output .bus_hold = "false";
-defparam \ddc_scl~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y10_N16
-cycloneive_io_obuf \ddc_sda~output (
- .i(vcc),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(ddc_sda),
- .obar());
-// synopsys translate_off
-defparam \ddc_sda~output .bus_hold = "false";
-defparam \ddc_sda~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y21_N23
-cycloneive_io_obuf \tmds_clk_p~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_clk_p),
- .obar());
-// synopsys translate_off
-defparam \tmds_clk_p~output .bus_hold = "false";
-defparam \tmds_clk_p~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y20_N2
-cycloneive_io_obuf \tmds_clk_n~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_clk_n),
- .obar());
-// synopsys translate_off
-defparam \tmds_clk_n~output .bus_hold = "false";
-defparam \tmds_clk_n~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y22_N16
-cycloneive_io_obuf \tmds_data_p[0]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_p[0]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_p[0]~output .bus_hold = "false";
-defparam \tmds_data_p[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y23_N9
-cycloneive_io_obuf \tmds_data_p[1]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_p[1]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_p[1]~output .bus_hold = "false";
-defparam \tmds_data_p[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y24_N2
-cycloneive_io_obuf \tmds_data_p[2]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_p[2]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_p[2]~output .bus_hold = "false";
-defparam \tmds_data_p[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y22_N23
-cycloneive_io_obuf \tmds_data_n[0]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_n[0]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_n[0]~output .bus_hold = "false";
-defparam \tmds_data_n[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y23_N16
-cycloneive_io_obuf \tmds_data_n[1]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_n[1]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_n[1]~output .bus_hold = "false";
-defparam \tmds_data_n[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y24_N9
-cycloneive_io_obuf \tmds_data_n[2]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_n[2]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_n[2]~output .bus_hold = "false";
-defparam \tmds_data_n[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .lut_mask = 16'h0303;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] $ (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N19
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] $ (((\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .lut_mask = 16'h3CF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N17
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .lut_mask = 16'h00AA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N31
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) # (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .lut_mask = 16'hFCFC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N5
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .lut_mask = 16'h00F0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N29
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N23
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y15_N22
-cycloneive_io_ibuf \sys_clk~input (
- .i(sys_clk),
- .ibar(gnd),
- .o(\sys_clk~input_o ));
-// synopsys translate_off
-defparam \sys_clk~input .bus_hold = "false";
-defparam \sys_clk~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: CLKCTRL_G9
-cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1]}),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock";
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y21_N25
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y20_N4
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
-// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add0~0_combout ),
- .cout(\vga_ctrl_inst|Add0~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
-defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y26_N0
-cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
-// Equation(s):
-// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y4_N1
-cycloneive_io_ibuf \sys_rst_n~input (
- .i(sys_rst_n),
- .ibar(gnd),
- .o(\sys_rst_n~input_o ));
-// synopsys translate_off
-defparam \sys_rst_n~input .bus_hold = "false";
-defparam \sys_rst_n~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: FF_X40_Y26_N1
-dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .asdata(vcc),
- .clrn(\sys_rst_n~input_o ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y26_N18
-cycloneive_lcell_comb \rst_n~0 (
-// Equation(s):
-// \rst_n~0_combout = ((!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\sys_rst_n~input_o )
-
- .dataa(\sys_rst_n~input_o ),
- .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .datac(gnd),
- .datad(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .cin(gnd),
- .combout(\rst_n~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \rst_n~0 .lut_mask = 16'h77FF;
-defparam \rst_n~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: CLKCTRL_G7
-cycloneive_clkctrl \rst_n~0clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\rst_n~0clkctrl_outclk ));
-// synopsys translate_off
-defparam \rst_n~0clkctrl .clock_type = "global clock";
-defparam \rst_n~0clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N9
-dffeas \vga_ctrl_inst|cnt_h[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
-// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~3 ),
- .combout(\vga_ctrl_inst|Add0~4_combout ),
- .cout(\vga_ctrl_inst|Add0~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
-// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~5 ),
- .combout(\vga_ctrl_inst|Add0~6_combout ),
- .cout(\vga_ctrl_inst|Add0~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N15
-dffeas \vga_ctrl_inst|cnt_h[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
-// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~7 ),
- .combout(\vga_ctrl_inst|Add0~8_combout ),
- .cout(\vga_ctrl_inst|Add0~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N17
-dffeas \vga_ctrl_inst|cnt_h[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
-// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~11 ),
- .combout(\vga_ctrl_inst|Add0~12_combout ),
- .cout(\vga_ctrl_inst|Add0~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N21
-dffeas \vga_ctrl_inst|cnt_h[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~12_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
-// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~13 ),
- .combout(\vga_ctrl_inst|Add0~14_combout ),
- .cout(\vga_ctrl_inst|Add0~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N23
-dffeas \vga_ctrl_inst|cnt_h[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~14_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N13
-dffeas \vga_ctrl_inst|cnt_h[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [1] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [2])))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(\vga_ctrl_inst|cnt_h [0]),
- .datad(\vga_ctrl_inst|cnt_h [2]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
-// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~15 ),
- .combout(\vga_ctrl_inst|Add0~16_combout ),
- .cout(\vga_ctrl_inst|Add0~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~18_combout = (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|Add0~17 )) # (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Add0~17 ) # (GND)))
-// \vga_ctrl_inst|Add0~19 = CARRY((!\vga_ctrl_inst|Add0~17 ) # (!\vga_ctrl_inst|cnt_h [9]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [9]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~17 ),
- .combout(\vga_ctrl_inst|Add0~18_combout ),
- .cout(\vga_ctrl_inst|Add0~19 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~20 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~20_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add0~19 $ (GND))) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add0~19 & VCC))
-// \vga_ctrl_inst|Add0~21 = CARRY((\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add0~19 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~19 ),
- .combout(\vga_ctrl_inst|Add0~20_combout ),
- .cout(\vga_ctrl_inst|Add0~21 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~20 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~20 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N29
-dffeas \vga_ctrl_inst|cnt_h[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~20_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[10] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~22 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~22_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add0~21 )
-
- .dataa(\vga_ctrl_inst|cnt_h [11]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\vga_ctrl_inst|Add0~21 ),
- .combout(\vga_ctrl_inst|Add0~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~22 .lut_mask = 16'h5A5A;
-defparam \vga_ctrl_inst|Add0~22 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N31
-dffeas \vga_ctrl_inst|cnt_h[11] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~22_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [11]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[11] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[11] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~1_combout = (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|cnt_h [11] & \vga_ctrl_inst|cnt_h [9])))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(\vga_ctrl_inst|cnt_h [11]),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h0100;
-defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N24
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~1_combout )) # (!\vga_ctrl_inst|Equal0~0_combout )))
-
- .dataa(\vga_ctrl_inst|Add0~10_combout ),
- .datab(\vga_ctrl_inst|Equal0~0_combout ),
- .datac(\vga_ctrl_inst|Equal0~1_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h2AAA;
-defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N25
-dffeas \vga_ctrl_inst|cnt_h[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|cnt_h [5] & (\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6])))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [5]),
- .datac(\vga_ctrl_inst|cnt_h [4]),
- .datad(\vga_ctrl_inst|cnt_h [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0020;
-defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~1_combout ),
- .datab(\vga_ctrl_inst|Add0~16_combout ),
- .datac(\vga_ctrl_inst|Equal0~0_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h4CCC;
-defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N3
-dffeas \vga_ctrl_inst|cnt_h[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~1_combout = (\vga_ctrl_inst|Add0~18_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~1_combout ),
- .datab(\vga_ctrl_inst|Equal0~0_combout ),
- .datac(\vga_ctrl_inst|Add0~18_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h70F0;
-defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N1
-dffeas \vga_ctrl_inst|cnt_h[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan4~0_combout = (!\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [5]),
- .datac(\vga_ctrl_inst|cnt_h [4]),
- .datad(\vga_ctrl_inst|cnt_h [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan4~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h0003;
-defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add4~0_combout = (\vga_ctrl_inst|cnt_h [8] & (((!\vga_ctrl_inst|cnt_h [7] & \vga_ctrl_inst|LessThan4~0_combout )) # (!\vga_ctrl_inst|cnt_h [9]))) # (!\vga_ctrl_inst|cnt_h [8] & ((\vga_ctrl_inst|cnt_h [9]) #
-// ((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|LessThan4~0_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(\vga_ctrl_inst|LessThan4~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .lut_mask = 16'h7A5E;
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~1_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
-defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~1_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~3_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
-defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~3_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~5_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
-defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~5_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~7_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005;
-defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [5]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~7_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~9_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00CF;
-defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
-// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~9_cout ),
- .combout(\vga_ctrl_inst|Add2~10_combout ),
- .cout(\vga_ctrl_inst|Add2~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
-defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
-// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~11 ),
- .combout(\vga_ctrl_inst|Add2~12_combout ),
- .cout(\vga_ctrl_inst|Add2~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
-// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~13 ),
- .combout(\vga_ctrl_inst|Add2~14_combout ),
- .cout(\vga_ctrl_inst|Add2~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505;
-defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~16_combout = (\vga_ctrl_inst|cnt_h [9] & ((GND) # (!\vga_ctrl_inst|Add2~15 ))) # (!\vga_ctrl_inst|cnt_h [9] & (\vga_ctrl_inst|Add2~15 $ (GND)))
-// \vga_ctrl_inst|Add2~17 = CARRY((\vga_ctrl_inst|cnt_h [9]) # (!\vga_ctrl_inst|Add2~15 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [9]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~15 ),
- .combout(\vga_ctrl_inst|Add2~16_combout ),
- .cout(\vga_ctrl_inst|Add2~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5AAF;
-defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~18_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add2~17 & VCC)) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add2~17 ))
-// \vga_ctrl_inst|Add2~19 = CARRY((!\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add2~17 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~17 ),
- .combout(\vga_ctrl_inst|Add2~18_combout ),
- .cout(\vga_ctrl_inst|Add2~19 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~18 .lut_mask = 16'hC303;
-defparam \vga_ctrl_inst|Add2~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
-// Equation(s):
-// \vga_pic_inst|pix_data~12_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'h0020;
-defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~5 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~5_combout = \vga_ctrl_inst|cnt_h [8] $ (\vga_ctrl_inst|cnt_h [9])
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(gnd),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~5 .lut_mask = 16'h5A5A;
-defparam \vga_ctrl_inst|pix_data_req~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N2
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|Equal0~1_combout & (\vga_ctrl_inst|Equal0~0_combout & \vga_ctrl_inst|Equal0~2_combout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Equal0~1_combout ),
- .datac(\vga_ctrl_inst|Equal0~0_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'hC000;
-defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~7 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[7]~7_combout = (\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~14_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[7]~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7]~7 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[7]~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N21
-dffeas \vga_ctrl_inst|cnt_v[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[7]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~10 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[5]~10_combout = (\vga_ctrl_inst|Add1~10_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [5])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~10_combout & (!\vga_ctrl_inst|Equal0~3_combout
-// & (\vga_ctrl_inst|cnt_v [5])))
-
- .dataa(\vga_ctrl_inst|Add1~10_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [5]),
- .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[5]~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5]~10 .lut_mask = 16'h30BA;
-defparam \vga_ctrl_inst|cnt_v[5]~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N27
-dffeas \vga_ctrl_inst|cnt_v[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[5]~10_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~6 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[8]~6_combout = (\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~16_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [8]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[8]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8]~6 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[8]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N19
-dffeas \vga_ctrl_inst|cnt_v[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[8]~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
-// Equation(s):
-// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [5] & !\vga_ctrl_inst|cnt_v [8])))
-
- .dataa(\vga_ctrl_inst|cnt_v [6]),
- .datab(\vga_ctrl_inst|cnt_v [7]),
- .datac(\vga_ctrl_inst|cnt_v [5]),
- .datad(\vga_ctrl_inst|cnt_v [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[1]~1_combout = (\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~2_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[1]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1]~1 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[1]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N9
-dffeas \vga_ctrl_inst|cnt_v[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[1]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~5 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[4]~5_combout = (\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~8_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [4]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[4]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4]~5 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[4]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N1
-dffeas \vga_ctrl_inst|cnt_v[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[4]~5_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N24
-cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
-// Equation(s):
-// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|pix_data_req~8_combout & (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|cnt_v [4])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~8_combout ),
- .datab(\vga_ctrl_inst|always1~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0008;
-defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
-// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add1~0_combout ),
- .cout(\vga_ctrl_inst|Add1~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h33CC;
-defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[0]~2_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [0] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~0_combout ) # ((\vga_ctrl_inst|cnt_v [0] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datab(\vga_ctrl_inst|Add1~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [0]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[0]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0]~2 .lut_mask = 16'h44F4;
-defparam \vga_ctrl_inst|cnt_v[0]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N1
-dffeas \vga_ctrl_inst|cnt_v[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[0]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~3 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[3]~3_combout = (\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~6_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [3]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[3]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3]~3 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[3]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N3
-dffeas \vga_ctrl_inst|cnt_v[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[3]~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
-// Equation(s):
-// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [0] & \vga_ctrl_inst|cnt_v [3])))
-
- .dataa(\vga_ctrl_inst|cnt_v [2]),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|cnt_v [0]),
- .datad(\vga_ctrl_inst|cnt_v [3]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0800;
-defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[11]~0_combout = ((\vga_ctrl_inst|always1~1_combout & \vga_ctrl_inst|always1~2_combout )) # (!\vga_ctrl_inst|Equal0~3_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|always1~1_combout ),
- .datac(\vga_ctrl_inst|always1~2_combout ),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[11]~0 .lut_mask = 16'hC0FF;
-defparam \vga_ctrl_inst|cnt_v[11]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~9 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[9]~9_combout = (\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~18_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[9]~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9]~9 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[9]~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N17
-dffeas \vga_ctrl_inst|cnt_v[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[9]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~8 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[6]~8_combout = (\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~12_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [6]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[6]~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6]~8 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[6]~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N23
-dffeas \vga_ctrl_inst|cnt_v[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[6]~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
-// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~3 ),
- .combout(\vga_ctrl_inst|Add1~4_combout ),
- .cout(\vga_ctrl_inst|Add1~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~4 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[2]~4_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~4_combout ) # ((\vga_ctrl_inst|cnt_v [2] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datab(\vga_ctrl_inst|Add1~4_combout ),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[2]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2]~4 .lut_mask = 16'h44F4;
-defparam \vga_ctrl_inst|cnt_v[2]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N13
-dffeas \vga_ctrl_inst|cnt_v[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[2]~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~22 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~22_combout = \vga_ctrl_inst|Add1~21 $ (\vga_ctrl_inst|cnt_v [11])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_v [11]),
- .cin(\vga_ctrl_inst|Add1~21 ),
- .combout(\vga_ctrl_inst|Add1~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~22 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add1~22 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~11 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[11]~11_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~22_combout ) # ((\vga_ctrl_inst|cnt_v [11] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datab(\vga_ctrl_inst|Add1~22_combout ),
- .datac(\vga_ctrl_inst|cnt_v [11]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[11]~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[11]~11 .lut_mask = 16'h44F4;
-defparam \vga_ctrl_inst|cnt_v[11]~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N29
-dffeas \vga_ctrl_inst|cnt_v[11] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[11]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [11]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[11] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[11] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~2_combout = (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|cnt_v [11] & (!\vga_ctrl_inst|cnt_h [11] & !\vga_ctrl_inst|cnt_h [10])))
-
- .dataa(\vga_ctrl_inst|cnt_v [10]),
- .datab(\vga_ctrl_inst|cnt_v [11]),
- .datac(\vga_ctrl_inst|cnt_h [11]),
- .datad(\vga_ctrl_inst|cnt_h [10]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~4_combout = (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9])))
-
- .dataa(\vga_ctrl_inst|always1~0_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'hAF00;
-defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~6 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~6_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Equal0~0_combout ) # (!\vga_ctrl_inst|LessThan4~0_combout )))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~0_combout &
-// (\vga_ctrl_inst|cnt_h [9] & \vga_ctrl_inst|LessThan4~0_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~0_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(\vga_ctrl_inst|LessThan4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~6 .lut_mask = 16'h180C;
-defparam \vga_ctrl_inst|pix_data_req~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~7 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~7_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|pix_data_req~5_combout ) # (\vga_ctrl_inst|pix_data_req~6_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~5_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~6_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~7 .lut_mask = 16'hA080;
-defparam \vga_ctrl_inst|pix_data_req~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~11 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~11_combout = ((\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~12_combout ))) # (!\vga_ctrl_inst|pix_data_req~7_combout )
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~11 .lut_mask = 16'hFBF3;
-defparam \vga_pic_inst|pix_data[13]~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N6
-cycloneive_lcell_comb \vga_pic_inst|always0~0 (
-// Equation(s):
-// \vga_pic_inst|always0~0_combout = (\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((!\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~16_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[13]~11_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|always0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|always0~0 .lut_mask = 16'hEFFF;
-defparam \vga_pic_inst|always0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
-// Equation(s):
-// \vga_pic_inst|pix_data~13_combout = (\vga_pic_inst|LessThan14~0_combout & (((\vga_ctrl_inst|Add2~12_combout )) # (!\vga_pic_inst|pix_data~12_combout ))) # (!\vga_pic_inst|LessThan14~0_combout & (\vga_pic_inst|always0~0_combout &
-// ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_pic_inst|pix_data~12_combout ))))
-
- .dataa(\vga_pic_inst|LessThan14~0_combout ),
- .datab(\vga_pic_inst|pix_data~12_combout ),
- .datac(\vga_ctrl_inst|Add2~12_combout ),
- .datad(\vga_pic_inst|always0~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'hF3A2;
-defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N28
-cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
-// Equation(s):
-// \vga_pic_inst|pix_data~17_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~17_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0500;
-defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N10
-cycloneive_lcell_comb \vga_pic_inst|pix_data~34 (
-// Equation(s):
-// \vga_pic_inst|pix_data~34_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~17_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
-
- .dataa(\vga_pic_inst|pix_data~16_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data~17_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~34_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~34 .lut_mask = 16'h7555;
-defparam \vga_pic_inst|pix_data~34 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N16
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~8 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~8_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~8 .lut_mask = 16'h55FF;
-defparam \vga_pic_inst|pix_data[13]~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~9 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~9_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|pix_data[13]~8_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|pix_data[13]~8_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~9 .lut_mask = 16'h0200;
-defparam \vga_pic_inst|pix_data[13]~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~10 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~10_combout = (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data[13]~9_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~20_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[13]~9_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~10 .lut_mask = 16'h5000;
-defparam \vga_pic_inst|pix_data[13]~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N8
-cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
-// Equation(s):
-// \vga_pic_inst|pix_data~18_combout = ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|pix_data~13_combout & !\vga_pic_inst|pix_data[13]~10_combout ))) # (!\vga_pic_inst|pix_data~34_combout )
-
- .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .datab(\vga_pic_inst|pix_data~13_combout ),
- .datac(\vga_pic_inst|pix_data~34_combout ),
- .datad(\vga_pic_inst|pix_data[13]~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h0F1F;
-defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N9
-dffeas \vga_pic_inst|pix_data[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~18_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~20 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~20_combout = \vga_ctrl_inst|Add2~19 $ (\vga_ctrl_inst|cnt_h [11])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [11]),
- .cin(\vga_ctrl_inst|Add2~19 ),
- .combout(\vga_ctrl_inst|Add2~20_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~20 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add2~20 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N28
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~4 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~4_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~12_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~4 .lut_mask = 16'h0010;
-defparam \vga_pic_inst|LessThan17~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N20
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~3 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~3_combout = (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~4_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~18_combout ),
- .datab(\vga_ctrl_inst|Add2~20_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|LessThan17~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~3 .lut_mask = 16'h1000;
-defparam \vga_pic_inst|LessThan17~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N14
-cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|Add2~12_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~10_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hA000;
-defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
-// Equation(s):
-// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|LessThan14~0_combout & !\vga_pic_inst|always0~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .datab(\vga_pic_inst|LessThan17~3_combout ),
- .datac(\vga_pic_inst|LessThan14~0_combout ),
- .datad(\vga_pic_inst|always0~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~19_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCCCD;
-defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
-// Equation(s):
-// \vga_pic_inst|pix_data~20_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & \vga_pic_inst|pix_data~19_combout )) # (!\vga_pic_inst|pix_data~34_combout )
-
- .dataa(gnd),
- .datab(\vga_pic_inst|pix_data[13]~10_combout ),
- .datac(\vga_pic_inst|pix_data~34_combout ),
- .datad(\vga_pic_inst|pix_data~19_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~20_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h3F0F;
-defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N19
-dffeas \vga_pic_inst|pix_data[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~20_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add6~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [4] & \vga_pic_inst|pix_data [0])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~3_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datac(\vga_pic_inst|pix_data [4]),
- .datad(\vga_pic_inst|pix_data [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .lut_mask = 16'h8000;
-defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .lut_mask = 16'h00FF;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N21
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N27
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & ((!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0]))))
-
- .dataa(\vga_ctrl_inst|cnt_v [0]),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|cnt_v [2]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0013;
-defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~1_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|cnt_v [4] & ((!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|pix_data_req~0_combout )))) #
-// (!\vga_ctrl_inst|LessThan6~0_combout & (((!\vga_ctrl_inst|always1~0_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datab(\vga_ctrl_inst|always1~0_combout ),
- .datac(\vga_ctrl_inst|LessThan6~0_combout ),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h3353;
-defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N28
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~0 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[1]~0_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [4] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_pic_inst|pix_data [4]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[1]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[1]~0 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[1]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N29
-dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[1]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N30
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[2]~1 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[2]~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \vga_pic_inst|pix_data [0])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datad(\vga_pic_inst|pix_data [0]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[2]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[2]~1 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[2]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N31
-dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[2]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]) # (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .lut_mask = 16'hFFFC;
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst0|Add14~0_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .lut_mask = 16'h00FF;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N9
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1] & \hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add19~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add19~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add19~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~6_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (\hdmi_ctrl_inst|encode_inst0|Add19~5 )
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add19~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .lut_mask = 16'h3C3C;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC)))
-// \hdmi_ctrl_inst|encode_inst0|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1]) # (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add22~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .lut_mask = 16'h99EE;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
-// \hdmi_ctrl_inst|encode_inst0|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add22~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add22~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .lut_mask = 16'hA505;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~6_combout = \hdmi_ctrl_inst|encode_inst0|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst0|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add22~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add19~6_combout )) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .lut_mask = 16'hFBEA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N17
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .lut_mask = 16'hAF44;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~10 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst0|Add16~9_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ))))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .lut_mask = 16'h5FC0;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & ((!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .lut_mask = 16'h0CFC;
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N29
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .lut_mask = 16'hC030;
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N19
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .lut_mask = 16'hAF44;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~14 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~13_combout &
-// (\hdmi_ctrl_inst|encode_inst0|Add19~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .lut_mask = 16'h5F88;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y22_N1
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] &
-// (\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .lut_mask = 16'hA088;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) #
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .lut_mask = 16'h8421;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .lut_mask = 16'h0F00;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ) # (\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .lut_mask = 16'h00EE;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~4_combout &
-// (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add4~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .lut_mask = 16'hA000;
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N17
-dffeas \hdmi_ctrl_inst|encode_inst2|de_reg1 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|de_reg1~q
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N1
-dffeas \hdmi_ctrl_inst|encode_inst2|de_reg2 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N13
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_2~combout = (\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))) #
-// (!\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .lut_mask = 16'h3B0A;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .lut_mask = 16'hBFAA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst0|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .lut_mask = 16'hA55A;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N15
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) #
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .lut_mask = 16'h7150;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst0|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [4] &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .lut_mask = 16'hF2C2;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~1_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .lut_mask = 16'h87D2;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~1_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # ((\vga_ctrl_inst|cnt_h [10]) # ((\vga_ctrl_inst|cnt_h [9]) # (\vga_ctrl_inst|cnt_h [11])))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(\vga_ctrl_inst|cnt_h [11]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hFFFE;
-defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~1 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan0~1_combout = (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|LessThan0~0_combout & ((!\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|cnt_h [6]))))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(\vga_ctrl_inst|cnt_h [5]),
- .datad(\vga_ctrl_inst|LessThan0~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan0~1 .lut_mask = 16'h0015;
-defparam \vga_ctrl_inst|LessThan0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y20_N27
-dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg1 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|LessThan0~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y20_N25
-dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg2 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N9
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .lut_mask = 16'h33CC;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N25
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~4_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .lut_mask = 16'hC35A;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~4_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N29
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan1~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [3] & \vga_ctrl_inst|always1~1_combout )))
-
- .dataa(\vga_ctrl_inst|cnt_v [2]),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|cnt_v [3]),
- .datad(\vga_ctrl_inst|always1~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'h0100;
-defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N15
-dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg1 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|LessThan1~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|c1_reg1~q
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N5
-dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg2 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c0_reg2~q $
-// (!\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .lut_mask = 16'hACA3;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N5
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .lut_mask = 16'hC0C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N1
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N7
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .lut_mask = 16'hAFA0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y22_N25
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .lut_mask = 16'hA3A3;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N17
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [2]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]))
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .lut_mask = 16'hCACA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N21
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .lut_mask = 16'hF3C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N9
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y22_N18
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N16
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~2 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~2_combout = (!\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|pix_data_req~7_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~2 .lut_mask = 16'h1010;
-defparam \vga_pic_inst|LessThan17~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~15 (
-// Equation(s):
-// \vga_pic_inst|pix_data[9]~15_combout = (\vga_pic_inst|pix_data[9]~14_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~2_combout )))
-
- .dataa(\vga_pic_inst|pix_data[9]~14_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|LessThan17~2_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[9]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9]~15 .lut_mask = 16'h0200;
-defparam \vga_pic_inst|pix_data[9]~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~35 (
-// Equation(s):
-// \vga_pic_inst|pix_data~35_combout = (\vga_pic_inst|LessThan10~0_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )))
-
- .dataa(\vga_pic_inst|LessThan10~0_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[13]~11_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~35_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~35 .lut_mask = 16'hFFEF;
-defparam \vga_pic_inst|pix_data~35 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data~36 (
-// Equation(s):
-// \vga_pic_inst|pix_data~36_combout = (\vga_pic_inst|always0~2_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_pic_inst|pix_data[9]~15_combout )))
-
- .dataa(\vga_pic_inst|always0~2_combout ),
- .datab(\vga_ctrl_inst|Add2~20_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[9]~15_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~36_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~36 .lut_mask = 16'h0020;
-defparam \vga_pic_inst|pix_data~36 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N6
-cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
-// Equation(s):
-// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|pix_data~12_combout & (!\vga_ctrl_inst|Add2~12_combout & ((\vga_pic_inst|LessThan14~0_combout ) # (\vga_pic_inst|always0~0_combout ))))
-
- .dataa(\vga_pic_inst|LessThan14~0_combout ),
- .datab(\vga_pic_inst|pix_data~12_combout ),
- .datac(\vga_ctrl_inst|Add2~12_combout ),
- .datad(\vga_pic_inst|always0~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~21_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'h0C08;
-defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N22
-cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
-// Equation(s):
-// \vga_pic_inst|pix_data~26_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~25_combout ),
- .datab(\vga_pic_inst|pix_data~35_combout ),
- .datac(\vga_pic_inst|pix_data~36_combout ),
- .datad(\vga_pic_inst|pix_data~21_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~26_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hE0A0;
-defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|pix_x[11]~0 (
-// Equation(s):
-// \vga_ctrl_inst|pix_x[11]~0_combout = (\vga_ctrl_inst|Add2~20_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~20_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_x[11]~0 .lut_mask = 16'hFF0F;
-defparam \vga_ctrl_inst|pix_x[11]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N8
-cycloneive_lcell_comb \vga_pic_inst|pix_data~27 (
-// Equation(s):
-// \vga_pic_inst|pix_data~27_combout = (\vga_pic_inst|pix_data~26_combout ) # ((!\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
-
- .dataa(\vga_pic_inst|always0~2_combout ),
- .datab(\vga_pic_inst|pix_data[9]~15_combout ),
- .datac(\vga_pic_inst|pix_data~26_combout ),
- .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~27_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~27 .lut_mask = 16'hF0F1;
-defparam \vga_pic_inst|pix_data~27 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y21_N9
-dffeas \vga_pic_inst|pix_data[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~27_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N14
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~2 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[10]~2_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data [10] & \vga_ctrl_inst|pix_data_req~1_combout )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datac(\vga_pic_inst|pix_data [10]),
- .datad(\vga_ctrl_inst|pix_data_req~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[10]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[10]~2 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[10]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N15
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[10]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~29 (
-// Equation(s):
-// \vga_pic_inst|pix_data~29_combout = (\vga_ctrl_inst|pix_data_req~7_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & !\vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|Add2~14_combout &
-// ((\vga_ctrl_inst|Add2~12_combout )))))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~29_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~29 .lut_mask = 16'h5020;
-defparam \vga_pic_inst|pix_data~29 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~30 (
-// Equation(s):
-// \vga_pic_inst|pix_data~30_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~20_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~18_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_ctrl_inst|Add2~20_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~18_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~30_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~30 .lut_mask = 16'h0002;
-defparam \vga_pic_inst|pix_data~30 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~31 (
-// Equation(s):
-// \vga_pic_inst|pix_data~31_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((\vga_pic_inst|pix_data~29_combout & \vga_pic_inst|pix_data~30_combout ))
-
- .dataa(gnd),
- .datab(\vga_pic_inst|pix_data~29_combout ),
- .datac(\vga_pic_inst|pix_data~30_combout ),
- .datad(\vga_pic_inst|LessThan17~3_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~31_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~31 .lut_mask = 16'hFFC0;
-defparam \vga_pic_inst|pix_data~31 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y21_N5
-dffeas \vga_pic_inst|pix_data[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~31_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N4
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[6]~4 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[6]~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [8])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_pic_inst|pix_data [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[6]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[6]~4 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[6]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N5
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[6]~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add5~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add5~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~1_combout & (\vga_pic_inst|pix_data [8] & ((\vga_pic_inst|pix_data [9]) # (\vga_pic_inst|pix_data [10]))))
-
- .dataa(\vga_pic_inst|pix_data [9]),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
- .datac(\vga_pic_inst|pix_data [10]),
- .datad(\vga_pic_inst|pix_data [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .lut_mask = 16'hC800;
-defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N27
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) #
-// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .lut_mask = 16'h6006;
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N25
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~28 (
-// Equation(s):
-// \vga_pic_inst|pix_data~28_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~25_combout ),
- .datab(\vga_pic_inst|pix_data~35_combout ),
- .datac(\vga_pic_inst|pix_data~36_combout ),
- .datad(\vga_pic_inst|pix_data~21_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~28_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~28 .lut_mask = 16'hE0A0;
-defparam \vga_pic_inst|pix_data~28 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y21_N3
-dffeas \vga_pic_inst|pix_data[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~28_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N8
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[7]~3_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [9])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_pic_inst|pix_data [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N9
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[7]~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add13~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
-// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
-// (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .lut_mask = 16'hF690;
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N13
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add13~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & \hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] &
-// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .lut_mask = 16'hF330;
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N29
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .lut_mask = 16'h08AE;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .lut_mask = 16'h7510;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add14~2_combout = (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X33_Y21_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst1|Add15~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (((!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .lut_mask = 16'h37FE;
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N17
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// (\hdmi_ctrl_inst|encode_inst1|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add23~1 ))))
-// \hdmi_ctrl_inst|encode_inst1|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
-// ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add23~1 ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add23~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add23~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .lut_mask = 16'h694D;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~2_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .lut_mask = 16'hAAE4;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~10 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~2_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N23
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add15~0_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .lut_mask = 16'hA0C0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout &
-// (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout &
-// ((\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout &
-// ((!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|cnt [4])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .datac(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst1|cnt [3])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .lut_mask = 16'h00EE;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~14_combout &
-// (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout &
-// (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout &
-// ((\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout &
-// ((!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N15
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~4_combout )) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add23~4_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .lut_mask = 16'hEE50;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~3_combout
-// & (\hdmi_ctrl_inst|encode_inst1|Add17~6_combout & ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~6_combout &
-// (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N17
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) #
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .lut_mask = 16'h8241;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_2~combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
-// ((\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|cnt [3] & \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datac(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .lut_mask = 16'h7350;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .lut_mask = 16'h22EE;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ) # (\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add22~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~12 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~11_combout &
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .lut_mask = 16'h5F22;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N13
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~6_combout = \hdmi_ctrl_inst|encode_inst1|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add22~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~6_combout )) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .lut_mask = 16'hFFAC;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst1|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .lut_mask = 16'hA55A;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N19
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst1|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [4] &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [4] & ((\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .lut_mask = 16'hEE50;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N19
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~1_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .lut_mask = 16'hA53C;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~1_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N1
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~3_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .lut_mask = 16'h9A56;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~3_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N29
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [5]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]))
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .lut_mask = 16'hCACA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N21
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .lut_mask = 16'hAF05;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N29
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .lut_mask = 16'hCC00;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N27
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N23
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N19
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .lut_mask = 16'hBB88;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y23_N11
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N14
-cycloneive_lcell_comb \vga_pic_inst|pix_data~33 (
-// Equation(s):
-// \vga_pic_inst|pix_data~33_combout = (\vga_pic_inst|pix_data~37_combout & ((\vga_pic_inst|pix_data[13]~10_combout ) # (\vga_pic_inst|pix_data~19_combout )))
-
- .dataa(\vga_pic_inst|pix_data~37_combout ),
- .datab(\vga_pic_inst|pix_data[13]~10_combout ),
- .datac(gnd),
- .datad(\vga_pic_inst|pix_data~19_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~33_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~33 .lut_mask = 16'hAA88;
-defparam \vga_pic_inst|pix_data~33 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N15
-dffeas \vga_pic_inst|pix_data[13] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~33_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [13]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[13] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N22
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[13]~6 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[13]~6_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [13] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_pic_inst|pix_data [13]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[13]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[13]~6 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[13]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N23
-dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[13]~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~32 (
-// Equation(s):
-// \vga_pic_inst|pix_data~32_combout = (\vga_pic_inst|pix_data~37_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & ((\vga_pic_inst|pix_data[13]~9_combout ) # (!\vga_pic_inst|pix_data~13_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~37_combout ),
- .datab(\vga_pic_inst|pix_data~13_combout ),
- .datac(\vga_pic_inst|pix_data[13]~9_combout ),
- .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~32_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~32 .lut_mask = 16'h00A2;
-defparam \vga_pic_inst|pix_data~32 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N5
-dffeas \vga_pic_inst|pix_data[15] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~32_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [15]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[15] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add6~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [13] & \vga_pic_inst|pix_data [15])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~3_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datac(\vga_pic_inst|pix_data [13]),
- .datad(\vga_pic_inst|pix_data [15]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .lut_mask = 16'h8000;
-defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N27
-dffeas \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N20
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~5 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[12]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [15] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_pic_inst|pix_data [15]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[12]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[12]~5 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[12]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N21
-dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[12]~5_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (!\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .lut_mask = 16'h3F0C;
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N5
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) # ((\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]) # (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .lut_mask = 16'hFFFC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N1
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add12~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .lut_mask = 16'h00F0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N23
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .lut_mask = 16'h20F2;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N7
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) #
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .lut_mask = 16'h9009;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & \hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add19~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .lut_mask = 16'h0C0A;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .lut_mask = 16'hF7F0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|cnt [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .lut_mask = 16'h0F00;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .lut_mask = 16'h00EE;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout &
-// (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout &
-// ((\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout &
-// ((!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N3
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst2|cnt [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst2|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] &
-// ((\hdmi_ctrl_inst|encode_inst2|Add15~0_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .lut_mask = 16'hAC00;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~12_combout &
-// (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
-// (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
-// ((\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout &
-// ((!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~4_combout &
-// (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N9
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst2|Add14~0_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .lut_mask = 16'h00FF;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N3
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_2~combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .lut_mask = 16'h0ACE;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~14 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~13_combout & (((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~13_combout
-// & (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .lut_mask = 16'h6E2A;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N5
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// (\hdmi_ctrl_inst|encode_inst2|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add23~1 ))))
-// \hdmi_ctrl_inst|encode_inst2|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
-// ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add23~1 ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add23~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add23~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .lut_mask = 16'h694D;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// ((\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add20~1 ))))
-// \hdmi_ctrl_inst|encode_inst2|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add20~1 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add20~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add20~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .lut_mask = 16'h692B;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .lut_mask = 16'hFA44;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~7_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~7_combout
-// & (\hdmi_ctrl_inst|encode_inst2|Add17~4_combout & ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N7
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N9
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~8_combout = \hdmi_ctrl_inst|encode_inst2|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add23~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add23~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ) # ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add23~6_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .lut_mask = 16'hAAD8;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add20~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add20~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~0_combout
-// & (((\hdmi_ctrl_inst|encode_inst2|Add20~6_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .lut_mask = 16'hB8CC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst2|Add16~2_combout $ (\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .lut_mask = 16'hA55A;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N11
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [4] & (((\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ) # (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [4] &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N21
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~1_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .lut_mask = 16'hB41E;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~1_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N1
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N29
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~4_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .lut_mask = 16'h939C;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~4_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N17
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
-// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .lut_mask = 16'hEB41;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N19
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .lut_mask = 16'hC0C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .lut_mask = 16'hCCF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N9
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .lut_mask = 16'hCCF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N5
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N21
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N17
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout = !\hdmi_ctrl_inst|encode_inst2|c0_reg2~q
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N21
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|data_out [6]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]))
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .lut_mask = 16'hCCAA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N7
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .lut_mask = 16'hBB88;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .lut_mask = 16'hBB88;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N1
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y24_N4
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y22_N25
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y23_N18
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y24_N11
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-endmodule
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus II 32-bit"
+// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
+
+// DATE "04/29/2025 22:08:27"
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This Verilog file should be used for ModelSim (Verilog) only
+//
+
+`timescale 1 ps/ 1 ps
+
+module hdmi_colorbar (
+ sys_clk,
+ sys_rst_n,
+ ddc_scl,
+ ddc_sda,
+ tmds_clk_p,
+ tmds_clk_n,
+ tmds_data_p,
+ tmds_data_n);
+input sys_clk;
+input sys_rst_n;
+output ddc_scl;
+output ddc_sda;
+output tmds_clk_p;
+output tmds_clk_n;
+output [2:0] tmds_data_p;
+output [2:0] tmds_data_n;
+
+// Design Ports Information
+// ddc_scl => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default
+// ddc_sda => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_clk_p => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_clk_n => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: 8mA
+// tmds_data_p[0] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_p[1] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_p[2] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_n[0] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_n[1] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_n[2] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default
+// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
+// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
+
+
+wire gnd;
+wire vcc;
+wire unknown;
+
+assign gnd = 1'b0;
+assign vcc = 1'b1;
+assign unknown = 1'bx;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+// synopsys translate_off
+initial $sdf_annotate("hdmi_colorbar_v.sdo");
+// synopsys translate_on
+
+wire \hdmi_ctrl_inst|encode_inst0|Add20~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~7 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~7 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~7 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~7 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~4_combout ;
+wire \vga_ctrl_inst|Add0~6_combout ;
+wire \vga_ctrl_inst|Add1~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~10_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~12_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~10_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~12_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~10_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~12_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ;
+wire \vga_ctrl_inst|LessThan0~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~5_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~5_combout ;
+wire \vga_ctrl_inst|always1~2_combout ;
+wire \vga_ctrl_inst|cnt_v[2]~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ;
+wire \vga_pic_inst|pix_data~22_combout ;
+wire \vga_pic_inst|LessThan14~1_combout ;
+wire \vga_pic_inst|pix_data[13]~24_combout ;
+wire \vga_pic_inst|pix_data~29_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~5_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~7_combout ;
+wire \vga_pic_inst|LessThan17~4_combout ;
+wire \vga_pic_inst|pix_data~35_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ;
+wire \sys_clk~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ;
+wire \vga_ctrl_inst|Add1~1 ;
+wire \vga_ctrl_inst|Add1~3 ;
+wire \vga_ctrl_inst|Add1~5 ;
+wire \vga_ctrl_inst|Add1~6_combout ;
+wire \vga_ctrl_inst|cnt_v[3]~3_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
+wire \sys_rst_n~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
+wire \rst_n~0_combout ;
+wire \rst_n~0clkctrl_outclk ;
+wire \vga_ctrl_inst|Add1~7 ;
+wire \vga_ctrl_inst|Add1~8_combout ;
+wire \vga_ctrl_inst|Add0~0_combout ;
+wire \vga_ctrl_inst|Add0~1 ;
+wire \vga_ctrl_inst|Add0~2_combout ;
+wire \vga_ctrl_inst|Add0~3 ;
+wire \vga_ctrl_inst|Add0~4_combout ;
+wire \vga_ctrl_inst|Add0~5 ;
+wire \vga_ctrl_inst|Add0~7 ;
+wire \vga_ctrl_inst|Add0~8_combout ;
+wire \vga_ctrl_inst|Add0~9 ;
+wire \vga_ctrl_inst|Add0~11 ;
+wire \vga_ctrl_inst|Add0~12_combout ;
+wire \vga_ctrl_inst|Add0~13 ;
+wire \vga_ctrl_inst|Add0~14_combout ;
+wire \vga_ctrl_inst|Add0~15 ;
+wire \vga_ctrl_inst|Add0~16_combout ;
+wire \vga_ctrl_inst|Equal0~0_combout ;
+wire \vga_ctrl_inst|cnt_h~2_combout ;
+wire \vga_ctrl_inst|Equal0~2_combout ;
+wire \vga_ctrl_inst|Add0~17 ;
+wire \vga_ctrl_inst|Add0~18_combout ;
+wire \vga_ctrl_inst|cnt_h~1_combout ;
+wire \vga_ctrl_inst|Add0~19 ;
+wire \vga_ctrl_inst|Add0~20_combout ;
+wire \vga_ctrl_inst|Add0~21 ;
+wire \vga_ctrl_inst|Add0~22_combout ;
+wire \vga_ctrl_inst|Equal0~1_combout ;
+wire \vga_ctrl_inst|Equal0~3_combout ;
+wire \vga_ctrl_inst|cnt_v[4]~5_combout ;
+wire \vga_ctrl_inst|Add1~9 ;
+wire \vga_ctrl_inst|Add1~10_combout ;
+wire \vga_ctrl_inst|cnt_v[5]~10_combout ;
+wire \vga_ctrl_inst|Add1~11 ;
+wire \vga_ctrl_inst|Add1~12_combout ;
+wire \vga_ctrl_inst|cnt_v[6]~8_combout ;
+wire \vga_ctrl_inst|Add1~13 ;
+wire \vga_ctrl_inst|Add1~14_combout ;
+wire \vga_ctrl_inst|cnt_v[7]~7_combout ;
+wire \vga_ctrl_inst|Add1~15 ;
+wire \vga_ctrl_inst|Add1~16_combout ;
+wire \vga_ctrl_inst|cnt_v[8]~6_combout ;
+wire \vga_ctrl_inst|Add1~17 ;
+wire \vga_ctrl_inst|Add1~18_combout ;
+wire \vga_ctrl_inst|cnt_v[9]~9_combout ;
+wire \vga_ctrl_inst|Add1~19 ;
+wire \vga_ctrl_inst|Add1~20_combout ;
+wire \vga_ctrl_inst|cnt_v[10]~12_combout ;
+wire \vga_ctrl_inst|Add1~21 ;
+wire \vga_ctrl_inst|Add1~22_combout ;
+wire \vga_ctrl_inst|cnt_v[11]~11_combout ;
+wire \vga_ctrl_inst|pix_data_req~8_combout ;
+wire \vga_ctrl_inst|always1~0_combout ;
+wire \vga_ctrl_inst|always1~1_combout ;
+wire \vga_ctrl_inst|cnt_v[11]~0_combout ;
+wire \vga_ctrl_inst|Add1~2_combout ;
+wire \vga_ctrl_inst|cnt_v[1]~1_combout ;
+wire \vga_ctrl_inst|Add1~0_combout ;
+wire \vga_ctrl_inst|cnt_v[0]~2_combout ;
+wire \vga_ctrl_inst|LessThan6~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~1_combout ;
+wire \vga_ctrl_inst|pix_data_req~2_combout ;
+wire \vga_ctrl_inst|pix_data_req~3_combout ;
+wire \vga_ctrl_inst|Add0~10_combout ;
+wire \vga_ctrl_inst|cnt_h~0_combout ;
+wire \vga_ctrl_inst|Add2~1_cout ;
+wire \vga_ctrl_inst|Add2~3_cout ;
+wire \vga_ctrl_inst|Add2~5_cout ;
+wire \vga_ctrl_inst|Add2~7_cout ;
+wire \vga_ctrl_inst|Add2~9_cout ;
+wire \vga_ctrl_inst|Add2~11 ;
+wire \vga_ctrl_inst|Add2~13 ;
+wire \vga_ctrl_inst|Add2~14_combout ;
+wire \vga_ctrl_inst|Add2~12_combout ;
+wire \vga_pic_inst|always0~1_combout ;
+wire \vga_ctrl_inst|Add2~10_combout ;
+wire \vga_pic_inst|LessThan17~2_combout ;
+wire \vga_ctrl_inst|Add2~15 ;
+wire \vga_ctrl_inst|Add2~16_combout ;
+wire \vga_pic_inst|always0~2_combout ;
+wire \vga_pic_inst|pix_data[13]~8_combout ;
+wire \vga_ctrl_inst|Add2~17 ;
+wire \vga_ctrl_inst|Add2~18_combout ;
+wire \vga_pic_inst|pix_data[13]~9_combout ;
+wire \vga_ctrl_inst|Add2~19 ;
+wire \vga_ctrl_inst|Add2~20_combout ;
+wire \vga_ctrl_inst|pix_x[11]~0_combout ;
+wire \vga_pic_inst|pix_data~16_combout ;
+wire \vga_pic_inst|pix_data~17_combout ;
+wire \vga_pic_inst|pix_data~34_combout ;
+wire \vga_ctrl_inst|pix_data_req~5_combout ;
+wire \vga_ctrl_inst|pix_data_req~6_combout ;
+wire \vga_ctrl_inst|pix_data_req~7_combout ;
+wire \vga_pic_inst|pix_data~12_combout ;
+wire \vga_pic_inst|pix_data[13]~11_combout ;
+wire \vga_pic_inst|always0~0_combout ;
+wire \vga_pic_inst|LessThan14~0_combout ;
+wire \vga_pic_inst|pix_data~13_combout ;
+wire \vga_pic_inst|pix_data~18_combout ;
+wire \vga_pic_inst|pix_data~19_combout ;
+wire \vga_pic_inst|pix_data~20_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add6~0_combout ;
+wire \vga_ctrl_inst|LessThan4~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~4_combout ;
+wire \vga_ctrl_inst|rgb[2]~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add12~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add12~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add14~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add14~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~14_combout ;
+wire \vga_ctrl_inst|rgb[1]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add4~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|de_reg1~q ;
+wire \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|de_reg2~q ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~16_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_2~combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ;
+wire \vga_ctrl_inst|LessThan0~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c0_reg1~q ;
+wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~q ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ;
+wire \vga_pic_inst|pix_data~30_combout ;
+wire \vga_pic_inst|LessThan17~3_combout ;
+wire \vga_pic_inst|pix_data~31_combout ;
+wire \vga_ctrl_inst|rgb[6]~4_combout ;
+wire \vga_ctrl_inst|pix_x[10]~1_combout ;
+wire \vga_pic_inst|pix_data~23_combout ;
+wire \vga_pic_inst|LessThan10~0_combout ;
+wire \vga_pic_inst|pix_data~25_combout ;
+wire \vga_pic_inst|pix_data[9]~14_combout ;
+wire \vga_pic_inst|pix_data[9]~15_combout ;
+wire \vga_pic_inst|pix_data~36_combout ;
+wire \vga_pic_inst|pix_data~21_combout ;
+wire \vga_pic_inst|pix_data~28_combout ;
+wire \vga_ctrl_inst|rgb[7]~3_combout ;
+wire \vga_pic_inst|pix_data~26_combout ;
+wire \vga_pic_inst|pix_data~27_combout ;
+wire \vga_ctrl_inst|rgb[10]~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add13~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add14~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add14~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add14~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add5~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~16_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add13~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~14_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_2~combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ;
+wire \vga_ctrl_inst|LessThan1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c1_reg1~q ;
+wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~q ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~7_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ;
+wire \vga_pic_inst|pix_data~37_combout ;
+wire \vga_pic_inst|pix_data[13]~10_combout ;
+wire \vga_pic_inst|pix_data~33_combout ;
+wire \vga_pic_inst|pix_data~32_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add6~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ;
+wire \vga_ctrl_inst|rgb[13]~6_combout ;
+wire \vga_ctrl_inst|rgb[12]~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add14~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add12~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add12~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_2~combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add14~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~16_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~14_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~7 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~7 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~8_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ;
+wire [8:0] \hdmi_ctrl_inst|encode_inst1|q_m_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n0 ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n1 ;
+wire [7:0] \hdmi_ctrl_inst|encode_inst2|data_in_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst2|data_in_n1 ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [11:0] \vga_ctrl_inst|cnt_h ;
+wire [15:0] \vga_pic_inst|pix_data ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n1 ;
+wire [4:0] \hdmi_ctrl_inst|encode_inst0|cnt ;
+wire [2:0] \hdmi_ctrl_inst|par_to_ser_inst0|cnt ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s ;
+wire [4:0] \hdmi_ctrl_inst|encode_inst1|cnt ;
+wire [9:0] \hdmi_ctrl_inst|encode_inst1|data_out ;
+wire [7:0] \hdmi_ctrl_inst|encode_inst1|data_in_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst1|data_in_n1 ;
+wire [4:0] \hdmi_ctrl_inst|encode_inst2|cnt ;
+wire [9:0] \hdmi_ctrl_inst|encode_inst2|data_out ;
+wire [8:0] \hdmi_ctrl_inst|encode_inst2|q_m_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n0 ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n1 ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s ;
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
+wire [11:0] \vga_ctrl_inst|cnt_v ;
+wire [8:0] \hdmi_ctrl_inst|encode_inst0|q_m_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n0 ;
+wire [9:0] \hdmi_ctrl_inst|encode_inst0|data_out ;
+wire [7:0] \hdmi_ctrl_inst|encode_inst0|data_in_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst0|data_in_n1 ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
+
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
+
+// Location: PLL_2
+cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
+ .areset(!\sys_rst_n~input_o ),
+ .pfdena(vcc),
+ .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .phaseupdown(gnd),
+ .phasestep(gnd),
+ .scandata(gnd),
+ .scanclk(gnd),
+ .scanclkena(vcc),
+ .configupdate(gnd),
+ .clkswitch(gnd),
+ .inclk({gnd,\sys_clk~input_o }),
+ .phasecounterselect(3'b000),
+ .phasedone(),
+ .scandataout(),
+ .scandone(),
+ .activeclock(),
+ .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .vcooverrange(),
+ .vcounderrange(),
+ .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
+ .clkbad());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 13;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "odd";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 3;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 2;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "odd";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 25;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 5;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 6891;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 208;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N11
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N13
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N19
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add20~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .lut_mask = 16'h66BB;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// ((\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add20~1 ))))
+// \hdmi_ctrl_inst|encode_inst0|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add20~1 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add20~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add20~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .lut_mask = 16'h692B;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add20~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add20~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add20~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .lut_mask = 16'h3CCF;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add20~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add20~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add17~1
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] &
+// ((!\hdmi_ctrl_inst|encode_inst0|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1
+// [2] & !\hdmi_ctrl_inst|encode_inst0|Add17~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .lut_mask = 16'h3C3F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~7 )
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .lut_mask = 16'hC3C3;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add23~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .lut_mask = 16'h66DD;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// (\hdmi_ctrl_inst|encode_inst0|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
+// \hdmi_ctrl_inst|encode_inst0|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
+// ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add23~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add23~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .lut_mask = 16'h694D;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add23~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst0|Add23~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add23~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add23~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .lut_mask = 16'h3C03;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add23~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add23~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add15~1
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] &
+// ((!\hdmi_ctrl_inst|encode_inst0|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0
+// [2] & !\hdmi_ctrl_inst|encode_inst0|Add15~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add15~5
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] &
+// ((!\hdmi_ctrl_inst|encode_inst0|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~7 )
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .lut_mask = 16'hC3C3;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add19~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add19~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .lut_mask = 16'h5A5F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add19~3 & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add19~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add19~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add19~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .lut_mask = 16'hA50A;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
+// \hdmi_ctrl_inst|encode_inst0|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add22~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add22~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .lut_mask = 16'hA505;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add22~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add22~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add22~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .lut_mask = 16'h5AAF;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout &
+// (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout &
+// ((!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~4_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N13
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N3
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add20~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .lut_mask = 16'h66BB;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// (\hdmi_ctrl_inst|encode_inst1|Add20~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add20~1 ))))
+// \hdmi_ctrl_inst|encode_inst1|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
+// ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~1 ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add20~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add20~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .lut_mask = 16'h694D;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add20~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add20~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add20~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .lut_mask = 16'h3CCF;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add20~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add20~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst1|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
+// ((\hdmi_ctrl_inst|encode_inst1|Add17~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add17~1 )
+// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt
+// [2] & !\hdmi_ctrl_inst|encode_inst1|Add17~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .lut_mask = 16'h3C3F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~8_combout = \hdmi_ctrl_inst|encode_inst1|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add23~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .lut_mask = 16'h66DD;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// ((\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|Add23~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add23~1 ))))
+// \hdmi_ctrl_inst|encode_inst1|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((!\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst1|Add23~1 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add23~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add23~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .lut_mask = 16'h692B;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add23~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add23~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add23~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add23~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .lut_mask = 16'h3C03;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add23~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add23~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst1|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] &
+// ((\hdmi_ctrl_inst|encode_inst1|Add15~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add15~1 )
+// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt
+// [2] & !\hdmi_ctrl_inst|encode_inst1|Add15~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add15~5
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
+// ((!\hdmi_ctrl_inst|encode_inst1|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~8_combout = \hdmi_ctrl_inst|encode_inst1|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst1|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add19~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC)))
+// \hdmi_ctrl_inst|encode_inst1|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst1|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add22~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .lut_mask = 16'h99EE;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
+// \hdmi_ctrl_inst|encode_inst1|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add22~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add22~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .lut_mask = 16'hA505;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add20~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .lut_mask = 16'h66DD;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [0] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add17~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst2|Add17~1 )
+// # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add17~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1
+// [2] & !\hdmi_ctrl_inst|encode_inst2|Add17~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add23~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .lut_mask = 16'h66BB;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst2|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add15~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst2|Add15~1 )
+// # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add19~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add19~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .lut_mask = 16'h5A5F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add19~3 & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add19~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add19~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add19~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .lut_mask = 16'hC30C;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
+// \hdmi_ctrl_inst|encode_inst2|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|cnt [2] & !\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add22~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add22~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .lut_mask = 16'hA505;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add22~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add22~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add22~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .lut_mask = 16'h3CCF;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X30_Y21_N27
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N23
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
+// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~5 ),
+ .combout(\vga_ctrl_inst|Add0~6_combout ),
+ .cout(\vga_ctrl_inst|Add0~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
+// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~3 ),
+ .combout(\vga_ctrl_inst|Add1~4_combout ),
+ .cout(\vga_ctrl_inst|Add1~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N23
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .lut_mask = 16'h0C0C;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .lut_mask = 16'h2F02;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N5
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N23
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [2]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .lut_mask = 16'hF0AA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))) #
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .lut_mask = 16'h8421;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N13
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N23
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .lut_mask = 16'h7130;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N19
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~1_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .lut_mask = 16'h87D2;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .lut_mask = 16'h0303;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .lut_mask = 16'h3030;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .lut_mask = 16'hF0CA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~0_combout
+// & (\hdmi_ctrl_inst|encode_inst0|Add20~6_combout & ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .lut_mask = 16'hE4AA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~4_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .lut_mask = 16'hE3E0;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~3_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~3_combout
+// & (((\hdmi_ctrl_inst|encode_inst0|Add20~4_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .lut_mask = 16'hACF0;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .lut_mask = 16'h5044;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .lut_mask = 16'hAF44;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~10 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~9_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add19~2_combout & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .lut_mask = 16'h4AEA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ) # ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add23~0_combout & !\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .lut_mask = 16'hF0AC;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~12 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst0|Add20~0_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .lut_mask = 16'hD8AA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] &
+// (\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .lut_mask = 16'hA088;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst0|cnt [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .lut_mask = 16'h00CC;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N7
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .lut_mask = 16'hCC0F;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N19
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .lut_mask = 16'hFA44;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~0_combout &
+// (\hdmi_ctrl_inst|encode_inst1|Add20~6_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .lut_mask = 16'hF858;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .lut_mask = 16'hFC22;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~3_combout
+// & (\hdmi_ctrl_inst|encode_inst1|Add17~6_combout & (\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .lut_mask = 16'hEC2C;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .lut_mask = 16'hF50C;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .lut_mask = 16'hFA44;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~10 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~2_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .lut_mask = 16'hCAF0;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~0_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .lut_mask = 16'hE5E0;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~12 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst1|Add16~11_combout &
+// ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .lut_mask = 16'h770A;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] &
+// (\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .lut_mask = 16'hE040;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .lut_mask = 16'h0F00;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N25
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~2_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [2] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N19
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .lut_mask = 16'hD8D8;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .lut_mask = 16'h0E04;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .lut_mask = 16'hDFCC;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add22~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .lut_mask = 16'hBB50;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~10 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~9_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add19~2_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .lut_mask = 16'h58F8;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|condition_2~combout )) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~2_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~0_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .lut_mask = 16'hD9C8;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~12 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (\hdmi_ctrl_inst|encode_inst2|Add15~2_combout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~11_combout
+// & ((\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ))))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .lut_mask = 16'hDAD0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst2|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add15~0_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .lut_mask = 16'h8C80;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .lut_mask = 16'h0F00;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~2_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .lut_mask = 16'h959A;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .lut_mask = 16'hCF03;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N5
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) # (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .lut_mask = 16'hFFF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N31
+dffeas \vga_ctrl_inst|cnt_v[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[2]~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [10]) # ((\vga_ctrl_inst|cnt_h [11]) # ((\vga_ctrl_inst|cnt_h [7]) # (\vga_ctrl_inst|cnt_h [9])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [10]),
+ .datab(\vga_ctrl_inst|cnt_h [11]),
+ .datac(\vga_ctrl_inst|cnt_h [7]),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hFFFE;
+defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~4_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N3
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~5_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .lut_mask = 16'hA35C;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N15
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N17
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~3_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .lut_mask = 16'h993C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N29
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [7])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~4_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N1
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .lut_mask = 16'hD8D8;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .lut_mask = 16'h5A5A;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~4_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .lut_mask = 16'h959A;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N31
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~5_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .lut_mask = 16'hC53A;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N7
+dffeas \vga_ctrl_inst|cnt_h[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N10
+cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
+// Equation(s):
+// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|cnt_v [3] & (\vga_ctrl_inst|cnt_v [9] & !\vga_ctrl_inst|cnt_v [0])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|cnt_v [0]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0080;
+defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N30
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~4 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[2]~4_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~4_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [2]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~4_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|Add1~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[2]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2]~4 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[2]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .lut_mask = 16'h55AA;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N23
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .lut_mask = 16'hAA00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .lut_mask = 16'h5A5A;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .lut_mask = 16'h7744;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N29
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .lut_mask = 16'hCC00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N4
+cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
+// Equation(s):
+// \vga_pic_inst|pix_data~22_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h00AA;
+defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|LessThan14~1 (
+// Equation(s):
+// \vga_pic_inst|LessThan14~1_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan14~1 .lut_mask = 16'hF000;
+defparam \vga_pic_inst|LessThan14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~24 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~24_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_pic_inst|LessThan14~1_combout & (!\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|LessThan14~1_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~24_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~24 .lut_mask = 16'h0002;
+defparam \vga_pic_inst|pix_data[13]~24 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data~29 (
+// Equation(s):
+// \vga_pic_inst|pix_data~29_combout = (\vga_ctrl_inst|pix_data_req~7_combout & ((\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout )) # (!\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~10_combout
+// ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~29_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~29 .lut_mask = 16'h2060;
+defparam \vga_pic_inst|pix_data~29 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout = \hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .lut_mask = 16'hA55A;
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N31
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~5_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [7] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .lut_mask = 16'h93C6;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N5
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .lut_mask = 16'hAA00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N31
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .lut_mask = 16'hAA00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .lut_mask = 16'h55AA;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst2|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .lut_mask = 16'h7722;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c0_reg2~q $
+// (!\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .lut_mask = 16'hD88D;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .lut_mask = 16'hCC55;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .lut_mask = 16'hC33C;
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~6_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
+// (((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .lut_mask = 16'hCAC5;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .lut_mask = 16'hAA0F;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N6
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~4 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~4_combout = (!\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~4 .lut_mask = 16'h0010;
+defparam \vga_pic_inst|LessThan17~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|pix_data~35 (
+// Equation(s):
+// \vga_pic_inst|pix_data~35_combout = (\vga_pic_inst|LessThan10~0_combout ) # ((\vga_pic_inst|pix_data[13]~11_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )))
+
+ .dataa(\vga_pic_inst|LessThan10~0_combout ),
+ .datab(\vga_pic_inst|pix_data[13]~11_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~35_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~35 .lut_mask = 16'hFFEF;
+defparam \vga_pic_inst|pix_data~35 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout = !\hdmi_ctrl_inst|encode_inst2|c0_reg2~q
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: CLKCTRL_G8
+cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .lut_mask = 16'hF0F0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~2_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~4_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~3_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~4_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~4_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~5_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N16
+cycloneive_io_obuf \ddc_scl~output (
+ .i(vcc),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(ddc_scl),
+ .obar());
+// synopsys translate_off
+defparam \ddc_scl~output .bus_hold = "false";
+defparam \ddc_scl~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y10_N16
+cycloneive_io_obuf \ddc_sda~output (
+ .i(vcc),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(ddc_sda),
+ .obar());
+// synopsys translate_off
+defparam \ddc_sda~output .bus_hold = "false";
+defparam \ddc_sda~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y21_N23
+cycloneive_io_obuf \tmds_clk_p~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_clk_p),
+ .obar());
+// synopsys translate_off
+defparam \tmds_clk_p~output .bus_hold = "false";
+defparam \tmds_clk_p~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y20_N2
+cycloneive_io_obuf \tmds_clk_n~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_clk_n),
+ .obar());
+// synopsys translate_off
+defparam \tmds_clk_n~output .bus_hold = "false";
+defparam \tmds_clk_n~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y22_N16
+cycloneive_io_obuf \tmds_data_p[0]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_p[0]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_p[0]~output .bus_hold = "false";
+defparam \tmds_data_p[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y23_N9
+cycloneive_io_obuf \tmds_data_p[1]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_p[1]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_p[1]~output .bus_hold = "false";
+defparam \tmds_data_p[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y24_N2
+cycloneive_io_obuf \tmds_data_p[2]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_p[2]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_p[2]~output .bus_hold = "false";
+defparam \tmds_data_p[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y22_N23
+cycloneive_io_obuf \tmds_data_n[0]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_n[0]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_n[0]~output .bus_hold = "false";
+defparam \tmds_data_n[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y23_N16
+cycloneive_io_obuf \tmds_data_n[1]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_n[1]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_n[1]~output .bus_hold = "false";
+defparam \tmds_data_n[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y24_N9
+cycloneive_io_obuf \tmds_data_n[2]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_n[2]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_n[2]~output .bus_hold = "false";
+defparam \tmds_data_n[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [0] $ (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1])
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .lut_mask = 16'h5A5A;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N19
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] $ (((\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [1])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .lut_mask = 16'h5AF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N9
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]) # (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .lut_mask = 16'hFAFA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N29
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .lut_mask = 16'h0C0C;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N25
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .lut_mask = 16'h0F00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N13
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .lut_mask = 16'h0A0A;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N31
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y15_N22
+cycloneive_io_ibuf \sys_clk~input (
+ .i(sys_clk),
+ .ibar(gnd),
+ .o(\sys_clk~input_o ));
+// synopsys translate_off
+defparam \sys_clk~input .bus_hold = "false";
+defparam \sys_clk~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: CLKCTRL_G9
+cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1]}),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock";
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y21_N25
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y20_N4
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
+// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
+
+ .dataa(\vga_ctrl_inst|cnt_v [0]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add1~0_combout ),
+ .cout(\vga_ctrl_inst|Add1~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h55AA;
+defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
+// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~1 ),
+ .combout(\vga_ctrl_inst|Add1~2_combout ),
+ .cout(\vga_ctrl_inst|Add1~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
+// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~5 ),
+ .combout(\vga_ctrl_inst|Add1~6_combout ),
+ .cout(\vga_ctrl_inst|Add1~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~3 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[3]~3_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~6_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [3]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~6_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|Add1~6_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[3]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3]~3 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[3]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X40_Y23_N0
+cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
+// Equation(s):
+// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y4_N1
+cycloneive_io_ibuf \sys_rst_n~input (
+ .i(sys_rst_n),
+ .ibar(gnd),
+ .o(\sys_rst_n~input_o ));
+// synopsys translate_off
+defparam \sys_rst_n~input .bus_hold = "false";
+defparam \sys_rst_n~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: FF_X40_Y23_N1
+dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .asdata(vcc),
+ .clrn(\sys_rst_n~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X40_Y23_N18
+cycloneive_lcell_comb \rst_n~0 (
+// Equation(s):
+// \rst_n~0_combout = ((!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\sys_rst_n~input_o )
+
+ .dataa(\sys_rst_n~input_o ),
+ .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .datac(gnd),
+ .datad(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .cin(gnd),
+ .combout(\rst_n~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \rst_n~0 .lut_mask = 16'h77FF;
+defparam \rst_n~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: CLKCTRL_G7
+cycloneive_clkctrl \rst_n~0clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\rst_n~0clkctrl_outclk ));
+// synopsys translate_off
+defparam \rst_n~0clkctrl .clock_type = "global clock";
+defparam \rst_n~0clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N5
+dffeas \vga_ctrl_inst|cnt_v[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[3]~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
+// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~7 ),
+ .combout(\vga_ctrl_inst|Add1~8_combout ),
+ .cout(\vga_ctrl_inst|Add1~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
+// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add0~0_combout ),
+ .cout(\vga_ctrl_inst|Add0~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
+defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N1
+dffeas \vga_ctrl_inst|cnt_h[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
+// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~1 ),
+ .combout(\vga_ctrl_inst|Add0~2_combout ),
+ .cout(\vga_ctrl_inst|Add0~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N3
+dffeas \vga_ctrl_inst|cnt_h[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
+// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~3 ),
+ .combout(\vga_ctrl_inst|Add0~4_combout ),
+ .cout(\vga_ctrl_inst|Add0~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N5
+dffeas \vga_ctrl_inst|cnt_h[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
+// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~7 ),
+ .combout(\vga_ctrl_inst|Add0~8_combout ),
+ .cout(\vga_ctrl_inst|Add0~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N9
+dffeas \vga_ctrl_inst|cnt_h[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
+// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~9 ),
+ .combout(\vga_ctrl_inst|Add0~10_combout ),
+ .cout(\vga_ctrl_inst|Add0~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
+// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [6]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~11 ),
+ .combout(\vga_ctrl_inst|Add0~12_combout ),
+ .cout(\vga_ctrl_inst|Add0~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N13
+dffeas \vga_ctrl_inst|cnt_h[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~12_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
+// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~13 ),
+ .combout(\vga_ctrl_inst|Add0~14_combout ),
+ .cout(\vga_ctrl_inst|Add0~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N15
+dffeas \vga_ctrl_inst|cnt_h[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~14_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
+// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~15 ),
+ .combout(\vga_ctrl_inst|Add0~16_combout ),
+ .cout(\vga_ctrl_inst|Add0~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [1] & (\vga_ctrl_inst|cnt_h [2] & \vga_ctrl_inst|cnt_h [0])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(\vga_ctrl_inst|cnt_h [1]),
+ .datac(\vga_ctrl_inst|cnt_h [2]),
+ .datad(\vga_ctrl_inst|cnt_h [0]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~1_combout ),
+ .datab(\vga_ctrl_inst|Add0~16_combout ),
+ .datac(\vga_ctrl_inst|Equal0~0_combout ),
+ .datad(\vga_ctrl_inst|Equal0~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h4CCC;
+defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N27
+dffeas \vga_ctrl_inst|cnt_h[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~2_combout = (!\vga_ctrl_inst|cnt_h [5] & (\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|cnt_h [6] & \vga_ctrl_inst|cnt_h [8])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(\vga_ctrl_inst|cnt_h [6]),
+ .datad(\vga_ctrl_inst|cnt_h [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0400;
+defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~18_combout = (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|Add0~17 )) # (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Add0~17 ) # (GND)))
+// \vga_ctrl_inst|Add0~19 = CARRY((!\vga_ctrl_inst|Add0~17 ) # (!\vga_ctrl_inst|cnt_h [9]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~17 ),
+ .combout(\vga_ctrl_inst|Add0~18_combout ),
+ .cout(\vga_ctrl_inst|Add0~19 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~1_combout = (\vga_ctrl_inst|Add0~18_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~1_combout ),
+ .datab(\vga_ctrl_inst|Add0~18_combout ),
+ .datac(\vga_ctrl_inst|Equal0~0_combout ),
+ .datad(\vga_ctrl_inst|Equal0~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h4CCC;
+defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N25
+dffeas \vga_ctrl_inst|cnt_h[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~20 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~20_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add0~19 $ (GND))) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add0~19 & VCC))
+// \vga_ctrl_inst|Add0~21 = CARRY((\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add0~19 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [10]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~19 ),
+ .combout(\vga_ctrl_inst|Add0~20_combout ),
+ .cout(\vga_ctrl_inst|Add0~21 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~20 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N21
+dffeas \vga_ctrl_inst|cnt_h[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~20_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[10] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~22 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~22_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add0~21 )
+
+ .dataa(\vga_ctrl_inst|cnt_h [11]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add0~21 ),
+ .combout(\vga_ctrl_inst|Add0~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~22 .lut_mask = 16'h5A5A;
+defparam \vga_ctrl_inst|Add0~22 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N23
+dffeas \vga_ctrl_inst|cnt_h[11] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~22_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[11] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~1_combout = (!\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|cnt_h [11] & !\vga_ctrl_inst|cnt_h [10])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(\vga_ctrl_inst|cnt_h [11]),
+ .datad(\vga_ctrl_inst|cnt_h [10]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h0004;
+defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|Equal0~2_combout & (\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|Equal0~0_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Equal0~2_combout ),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|Equal0~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'hC000;
+defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~5 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[4]~5_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~8_combout ) # ((\vga_ctrl_inst|cnt_v [4] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~8_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [4]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[4]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4]~5 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[4]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N1
+dffeas \vga_ctrl_inst|cnt_v[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[4]~5_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
+// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [5]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~9 ),
+ .combout(\vga_ctrl_inst|Add1~10_combout ),
+ .cout(\vga_ctrl_inst|Add1~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~10 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[5]~10_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [5] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~10_combout ) # ((\vga_ctrl_inst|cnt_v [5] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~10_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [5]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[5]~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5]~10 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[5]~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N3
+dffeas \vga_ctrl_inst|cnt_v[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[5]~10_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
+// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~11 ),
+ .combout(\vga_ctrl_inst|Add1~12_combout ),
+ .cout(\vga_ctrl_inst|Add1~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N14
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~8 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[6]~8_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~12_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [6]) # ((\vga_ctrl_inst|Add1~12_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~12_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [6]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[6]~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6]~8 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[6]~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N15
+dffeas \vga_ctrl_inst|cnt_v[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[6]~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
+// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~13 ),
+ .combout(\vga_ctrl_inst|Add1~14_combout ),
+ .cout(\vga_ctrl_inst|Add1~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N28
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~7 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[7]~7_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~14_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [7]) # ((\vga_ctrl_inst|Add1~14_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~14_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[7]~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7]~7 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[7]~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N29
+dffeas \vga_ctrl_inst|cnt_v[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[7]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
+// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~15 ),
+ .combout(\vga_ctrl_inst|Add1~16_combout ),
+ .cout(\vga_ctrl_inst|Add1~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N26
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~6 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[8]~6_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~16_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [8]) # ((\vga_ctrl_inst|Add1~16_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~16_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [8]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[8]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8]~6 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[8]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N27
+dffeas \vga_ctrl_inst|cnt_v[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[8]~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N26
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~18_combout = (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|Add1~17 )) # (!\vga_ctrl_inst|cnt_v [9] & ((\vga_ctrl_inst|Add1~17 ) # (GND)))
+// \vga_ctrl_inst|Add1~19 = CARRY((!\vga_ctrl_inst|Add1~17 ) # (!\vga_ctrl_inst|cnt_v [9]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [9]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~17 ),
+ .combout(\vga_ctrl_inst|Add1~18_combout ),
+ .cout(\vga_ctrl_inst|Add1~19 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N8
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~9 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[9]~9_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~18_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [9]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~18_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|Add1~18_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[9]~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9]~9 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[9]~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N9
+dffeas \vga_ctrl_inst|cnt_v[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[9]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~20 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~20_combout = (\vga_ctrl_inst|cnt_v [10] & (\vga_ctrl_inst|Add1~19 $ (GND))) # (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|Add1~19 & VCC))
+// \vga_ctrl_inst|Add1~21 = CARRY((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Add1~19 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [10]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~19 ),
+ .combout(\vga_ctrl_inst|Add1~20_combout ),
+ .cout(\vga_ctrl_inst|Add1~21 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~20 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N6
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[10]~12 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[10]~12_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~20_combout ) # ((\vga_ctrl_inst|cnt_v [10] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~20_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [10]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[10]~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[10]~12 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[10]~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N7
+dffeas \vga_ctrl_inst|cnt_v[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[10]~12_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[10] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N30
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~22 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~22_combout = \vga_ctrl_inst|cnt_v [11] $ (\vga_ctrl_inst|Add1~21 )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [11]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add1~21 ),
+ .combout(\vga_ctrl_inst|Add1~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~22 .lut_mask = 16'h3C3C;
+defparam \vga_ctrl_inst|Add1~22 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~11 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[11]~11_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~22_combout ) # ((\vga_ctrl_inst|cnt_v [11] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~22_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [11]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[11]~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[11]~11 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[11]~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N5
+dffeas \vga_ctrl_inst|cnt_v[11] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[11]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[11] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~8 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~8_combout = (!\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|cnt_v [11])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|cnt_v [10]),
+ .datad(\vga_ctrl_inst|cnt_v [11]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~8 .lut_mask = 16'h000F;
+defparam \vga_ctrl_inst|pix_data_req~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N12
+cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
+// Equation(s):
+// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|cnt_v [7])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [5]),
+ .datab(\vga_ctrl_inst|cnt_v [6]),
+ .datac(\vga_ctrl_inst|cnt_v [8]),
+ .datad(\vga_ctrl_inst|cnt_v [7]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N24
+cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
+// Equation(s):
+// \vga_ctrl_inst|always1~1_combout = (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|cnt_v [1] & (\vga_ctrl_inst|pix_data_req~8_combout & \vga_ctrl_inst|always1~0_combout )))
+
+ .dataa(\vga_ctrl_inst|cnt_v [4]),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(\vga_ctrl_inst|pix_data_req~8_combout ),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N20
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[11]~0_combout = ((\vga_ctrl_inst|always1~2_combout & \vga_ctrl_inst|always1~1_combout )) # (!\vga_ctrl_inst|Equal0~3_combout )
+
+ .dataa(\vga_ctrl_inst|always1~2_combout ),
+ .datab(\vga_ctrl_inst|always1~1_combout ),
+ .datac(\vga_ctrl_inst|Equal0~3_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[11]~0 .lut_mask = 16'h8F8F;
+defparam \vga_ctrl_inst|cnt_v[11]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[1]~1_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [1]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~2_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [1]),
+ .datad(\vga_ctrl_inst|Add1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[1]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1]~1 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[1]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N1
+dffeas \vga_ctrl_inst|cnt_v[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[1]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[0]~2_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~0_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [0]) # ((\vga_ctrl_inst|Add1~0_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [0]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[0]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0]~2 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[0]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N3
+dffeas \vga_ctrl_inst|cnt_v[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[0]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N16
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|cnt_v [3] & ((!\vga_ctrl_inst|cnt_v [0]) # (!\vga_ctrl_inst|cnt_v [1]))))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|cnt_v [0]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0105;
+defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N18
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|cnt_v [7])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(\vga_ctrl_inst|cnt_v [9]),
+ .datac(\vga_ctrl_inst|cnt_v [6]),
+ .datad(\vga_ctrl_inst|cnt_v [7]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N6
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~1_combout = (\vga_ctrl_inst|cnt_v [4] & (((!\vga_ctrl_inst|always1~0_combout )))) # (!\vga_ctrl_inst|cnt_v [4] & ((\vga_ctrl_inst|LessThan6~0_combout & (!\vga_ctrl_inst|pix_data_req~0_combout )) #
+// (!\vga_ctrl_inst|LessThan6~0_combout & ((!\vga_ctrl_inst|always1~0_combout )))))
+
+ .dataa(\vga_ctrl_inst|cnt_v [4]),
+ .datab(\vga_ctrl_inst|LessThan6~0_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h04BF;
+defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~2_combout = (!\vga_ctrl_inst|cnt_v [11] & (!\vga_ctrl_inst|cnt_h [11] & (!\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|cnt_h [10])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [11]),
+ .datab(\vga_ctrl_inst|cnt_h [11]),
+ .datac(\vga_ctrl_inst|cnt_v [10]),
+ .datad(\vga_ctrl_inst|cnt_h [10]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9]))))
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'h8C00;
+defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & (((!\vga_ctrl_inst|Equal0~1_combout ) # (!\vga_ctrl_inst|Equal0~2_combout )) # (!\vga_ctrl_inst|Equal0~0_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~0_combout ),
+ .datab(\vga_ctrl_inst|Equal0~2_combout ),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|Add0~10_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h7F00;
+defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N1
+dffeas \vga_ctrl_inst|cnt_h[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [1]),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~1_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
+defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~1_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~3_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
+defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~3_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~5_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
+defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~5_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~7_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [5]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~7_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~9_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00CF;
+defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
+// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~9_cout ),
+ .combout(\vga_ctrl_inst|Add2~10_combout ),
+ .cout(\vga_ctrl_inst|Add2~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
+defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
+// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~11 ),
+ .combout(\vga_ctrl_inst|Add2~12_combout ),
+ .cout(\vga_ctrl_inst|Add2~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
+// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~13 ),
+ .combout(\vga_ctrl_inst|Add2~14_combout ),
+ .cout(\vga_ctrl_inst|Add2~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hC303;
+defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|always0~1 (
+// Equation(s):
+// \vga_pic_inst|always0~1_combout = ((\vga_ctrl_inst|Add2~14_combout ) # (\vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|pix_data_req~7_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_pic_inst|always0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|always0~1 .lut_mask = 16'hFDFD;
+defparam \vga_pic_inst|always0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N30
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~2 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~2_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~2 .lut_mask = 16'h000A;
+defparam \vga_pic_inst|LessThan17~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~16_combout = (\vga_ctrl_inst|cnt_h [9] & ((GND) # (!\vga_ctrl_inst|Add2~15 ))) # (!\vga_ctrl_inst|cnt_h [9] & (\vga_ctrl_inst|Add2~15 $ (GND)))
+// \vga_ctrl_inst|Add2~17 = CARRY((\vga_ctrl_inst|cnt_h [9]) # (!\vga_ctrl_inst|Add2~15 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~15 ),
+ .combout(\vga_ctrl_inst|Add2~16_combout ),
+ .cout(\vga_ctrl_inst|Add2~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h3CCF;
+defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N18
+cycloneive_lcell_comb \vga_pic_inst|always0~2 (
+// Equation(s):
+// \vga_pic_inst|always0~2_combout = (\vga_ctrl_inst|Add2~18_combout ) # ((\vga_pic_inst|always0~1_combout ) # ((\vga_pic_inst|LessThan17~2_combout ) # (\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~18_combout ),
+ .datab(\vga_pic_inst|always0~1_combout ),
+ .datac(\vga_pic_inst|LessThan17~2_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|always0~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|always0~2 .lut_mask = 16'hFFFE;
+defparam \vga_pic_inst|always0~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N8
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~8 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~8_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~8 .lut_mask = 16'h3F3F;
+defparam \vga_pic_inst|pix_data[13]~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~18_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add2~17 & VCC)) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add2~17 ))
+// \vga_ctrl_inst|Add2~19 = CARRY((!\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add2~17 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [10]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~17 ),
+ .combout(\vga_ctrl_inst|Add2~18_combout ),
+ .cout(\vga_ctrl_inst|Add2~19 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~18 .lut_mask = 16'hA505;
+defparam \vga_ctrl_inst|Add2~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~9 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~9_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data[13]~8_combout & (!\vga_ctrl_inst|Add2~18_combout & !\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|pix_data[13]~8_combout ),
+ .datac(\vga_ctrl_inst|Add2~18_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~9 .lut_mask = 16'h0008;
+defparam \vga_pic_inst|pix_data[13]~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~20 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~20_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add2~19 )
+
+ .dataa(\vga_ctrl_inst|cnt_h [11]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add2~19 ),
+ .combout(\vga_ctrl_inst|Add2~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~20 .lut_mask = 16'h5A5A;
+defparam \vga_ctrl_inst|Add2~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|pix_x[11]~0 (
+// Equation(s):
+// \vga_ctrl_inst|pix_x[11]~0_combout = (\vga_ctrl_inst|Add2~20_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~20_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_x[11]~0 .lut_mask = 16'hFF55;
+defparam \vga_ctrl_inst|pix_x[11]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
+// Equation(s):
+// \vga_pic_inst|pix_data~16_combout = (!\vga_pic_inst|pix_data[9]~15_combout & (\vga_pic_inst|always0~2_combout & (\vga_pic_inst|pix_data[13]~9_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
+
+ .dataa(\vga_pic_inst|pix_data[9]~15_combout ),
+ .datab(\vga_pic_inst|always0~2_combout ),
+ .datac(\vga_pic_inst|pix_data[13]~9_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'h0040;
+defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
+// Equation(s):
+// \vga_pic_inst|pix_data~17_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~16_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~17_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0030;
+defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~34 (
+// Equation(s):
+// \vga_pic_inst|pix_data~34_combout = ((\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data~17_combout & !\vga_ctrl_inst|Add2~18_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|pix_data~16_combout ),
+ .datac(\vga_pic_inst|pix_data~17_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~34_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~34 .lut_mask = 16'h33B3;
+defparam \vga_pic_inst|pix_data~34 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y22_N10
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~5 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~5_combout = \vga_ctrl_inst|cnt_h [9] $ (\vga_ctrl_inst|cnt_h [8])
+
+ .dataa(\vga_ctrl_inst|cnt_h [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~5 .lut_mask = 16'h55AA;
+defparam \vga_ctrl_inst|pix_data_req~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y22_N12
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~6 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~6_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Equal0~0_combout ) # (!\vga_ctrl_inst|LessThan4~0_combout )))) # (!\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|LessThan4~0_combout &
+// (!\vga_ctrl_inst|Equal0~0_combout & \vga_ctrl_inst|cnt_h [9])))
+
+ .dataa(\vga_ctrl_inst|LessThan4~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|Equal0~0_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~6 .lut_mask = 16'h02C4;
+defparam \vga_ctrl_inst|pix_data_req~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~7 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~7_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & ((\vga_ctrl_inst|pix_data_req~5_combout ) # (\vga_ctrl_inst|pix_data_req~6_combout ))))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~5_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~6_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~7 .lut_mask = 16'hA800;
+defparam \vga_ctrl_inst|pix_data_req~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
+// Equation(s):
+// \vga_pic_inst|pix_data~12_combout = (\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~18_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'h0020;
+defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~11 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~11_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|pix_data_req~7_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~11 .lut_mask = 16'hEFAF;
+defparam \vga_pic_inst|pix_data[13]~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N22
+cycloneive_lcell_comb \vga_pic_inst|always0~0 (
+// Equation(s):
+// \vga_pic_inst|always0~0_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )) # (!\vga_pic_inst|pix_data[13]~11_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_pic_inst|pix_data[13]~11_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|always0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|always0~0 .lut_mask = 16'hFFBF;
+defparam \vga_pic_inst|always0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N18
+cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'h8800;
+defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N2
+cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
+// Equation(s):
+// \vga_pic_inst|pix_data~13_combout = (\vga_ctrl_inst|Add2~12_combout & (((\vga_pic_inst|always0~0_combout ) # (\vga_pic_inst|LessThan14~0_combout )))) # (!\vga_ctrl_inst|Add2~12_combout & (!\vga_pic_inst|pix_data~12_combout &
+// ((\vga_pic_inst|always0~0_combout ) # (\vga_pic_inst|LessThan14~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_pic_inst|pix_data~12_combout ),
+ .datac(\vga_pic_inst|always0~0_combout ),
+ .datad(\vga_pic_inst|LessThan14~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'hBBB0;
+defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N8
+cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
+// Equation(s):
+// \vga_pic_inst|pix_data~18_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & (!\vga_pic_inst|pix_data~13_combout & !\vga_ctrl_inst|pix_x[11]~0_combout ))) # (!\vga_pic_inst|pix_data~34_combout )
+
+ .dataa(\vga_pic_inst|pix_data[13]~10_combout ),
+ .datab(\vga_pic_inst|pix_data~34_combout ),
+ .datac(\vga_pic_inst|pix_data~13_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h3337;
+defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N9
+dffeas \vga_pic_inst|pix_data[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~18_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N30
+cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
+// Equation(s):
+// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((!\vga_pic_inst|LessThan14~0_combout & (!\vga_pic_inst|always0~0_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
+
+ .dataa(\vga_pic_inst|LessThan17~3_combout ),
+ .datab(\vga_pic_inst|LessThan14~0_combout ),
+ .datac(\vga_pic_inst|always0~0_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~19_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hAAAB;
+defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
+// Equation(s):
+// \vga_pic_inst|pix_data~20_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & \vga_pic_inst|pix_data~19_combout )) # (!\vga_pic_inst|pix_data~34_combout )
+
+ .dataa(\vga_pic_inst|pix_data[13]~10_combout ),
+ .datab(gnd),
+ .datac(\vga_pic_inst|pix_data~19_combout ),
+ .datad(\vga_pic_inst|pix_data~34_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h50FF;
+defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N11
+dffeas \vga_pic_inst|pix_data[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~20_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add6~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add6~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~3_combout & (\vga_pic_inst|pix_data [4] & \vga_pic_inst|pix_data [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .datac(\vga_pic_inst|pix_data [4]),
+ .datad(\vga_pic_inst|pix_data [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .lut_mask = 16'h8000;
+defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N25
+dffeas \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan4~0_combout = (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(\vga_ctrl_inst|cnt_h [6]),
+ .datad(\vga_ctrl_inst|cnt_h [5]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan4~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add4~0_combout = (\vga_ctrl_inst|cnt_h [8] & (((!\vga_ctrl_inst|cnt_h [7] & \vga_ctrl_inst|LessThan4~0_combout )) # (!\vga_ctrl_inst|cnt_h [9]))) # (!\vga_ctrl_inst|cnt_h [8] & ((\vga_ctrl_inst|cnt_h [9]) #
+// ((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|LessThan4~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|LessThan4~0_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .lut_mask = 16'h75AE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~4_combout = (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9])))
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'hAF00;
+defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[2]~1 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[2]~1_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [0] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [0]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[2]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[2]~1 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[2]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[2]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & ((!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .lut_mask = 16'h3A3A;
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N5
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add12~1_combout = (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] & \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .lut_mask = 16'h5500;
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N23
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) # (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .lut_mask = 16'hFEFE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N1
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .lut_mask = 16'h40F4;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst0|Add14~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .lut_mask = 16'h00FF;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N25
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst0|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst0|cnt [1] & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst0|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add19~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst0|cnt [1] $ (VCC)))
+// \hdmi_ctrl_inst|encode_inst0|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst0|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add22~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .lut_mask = 16'h99EE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .lut_mask = 16'h7744;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .lut_mask = 16'h9090;
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N19
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~0_combout & (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .lut_mask = 16'hA4AE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~14 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~13_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add19~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .lut_mask = 16'h58F8;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~0 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[1]~0_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [4] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [4]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[1]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[1]~0 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[1]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N19
+dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[1]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X28_Y21_N1
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2])))) #
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .lut_mask = 16'h9009;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .lut_mask = 16'h00F0;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ) # (\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .lut_mask = 16'h00EE;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout &
+// (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout &
+// ((!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add4~1_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout ))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .lut_mask = 16'hA000;
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N15
+dffeas \hdmi_ctrl_inst|encode_inst2|de_reg1 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|de_reg1~q
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .lut_mask = 16'hF0F0;
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst2|de_reg2 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N7
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~16 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|cnt [0])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .lut_mask = 16'h3F30;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~12_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|cnt [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_2~combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] &
+// ((\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ) # ((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .lut_mask = 16'h44F4;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~6_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add22~5 )
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add22~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .lut_mask = 16'hC3C3;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~6_combout = \hdmi_ctrl_inst|encode_inst0|Add19~5 $ (\hdmi_ctrl_inst|encode_inst0|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add19~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~6_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .lut_mask = 16'hFEDC;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .lut_mask = 16'h00FF;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N21
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .lut_mask = 16'hAEEE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst0|Add20~2_combout )) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .lut_mask = 16'hEE50;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~7_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~7_combout
+// & (\hdmi_ctrl_inst|encode_inst0|Add17~4_combout & (\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .lut_mask = 16'hEC2C;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst0|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .lut_mask = 16'hA55A;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N15
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst0|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [4] &
+// (\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & ((\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .lut_mask = 16'hFA0C;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N27
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~1_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .lut_mask = 16'hB41E;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~1_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~1 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan0~1_combout = (!\vga_ctrl_inst|LessThan0~0_combout & (!\vga_ctrl_inst|cnt_h [8] & ((!\vga_ctrl_inst|cnt_h [6]) # (!\vga_ctrl_inst|cnt_h [5]))))
+
+ .dataa(\vga_ctrl_inst|LessThan0~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [5]),
+ .datac(\vga_ctrl_inst|cnt_h [6]),
+ .datad(\vga_ctrl_inst|cnt_h [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan0~1 .lut_mask = 16'h0015;
+defparam \vga_ctrl_inst|LessThan0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X30_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg1 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|LessThan0~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X30_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg2 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .lut_mask = 16'h3C3C;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N7
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~2_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .lut_mask = 16'hA35C;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N19
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [3]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .lut_mask = 16'hCCAA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .lut_mask = 16'hDD88;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N31
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X30_Y21_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [0]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .lut_mask = 16'hF0AA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N7
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y22_N18
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~30 (
+// Equation(s):
+// \vga_pic_inst|pix_data~30_combout = (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~18_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~20_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~30_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~30 .lut_mask = 16'h0010;
+defparam \vga_pic_inst|pix_data~30 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N12
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~3 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~3_combout = (\vga_pic_inst|LessThan17~4_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~18_combout )))
+
+ .dataa(\vga_pic_inst|LessThan17~4_combout ),
+ .datab(\vga_ctrl_inst|Add2~20_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~3 .lut_mask = 16'h0020;
+defparam \vga_pic_inst|LessThan17~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N8
+cycloneive_lcell_comb \vga_pic_inst|pix_data~31 (
+// Equation(s):
+// \vga_pic_inst|pix_data~31_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((\vga_pic_inst|pix_data~29_combout & \vga_pic_inst|pix_data~30_combout ))
+
+ .dataa(\vga_pic_inst|pix_data~29_combout ),
+ .datab(\vga_pic_inst|pix_data~30_combout ),
+ .datac(gnd),
+ .datad(\vga_pic_inst|LessThan17~3_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~31_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~31 .lut_mask = 16'hFF88;
+defparam \vga_pic_inst|pix_data~31 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X26_Y23_N9
+dffeas \vga_pic_inst|pix_data[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~31_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[6]~4 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[6]~4_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [8] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[6]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[6]~4 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[6]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N23
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[6]~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|pix_x[10]~1 (
+// Equation(s):
+// \vga_ctrl_inst|pix_x[10]~1_combout = (\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~18_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_x[10]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_x[10]~1 .lut_mask = 16'hF5F5;
+defparam \vga_ctrl_inst|pix_x[10]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N22
+cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
+// Equation(s):
+// \vga_pic_inst|pix_data~23_combout = (\vga_pic_inst|pix_data~22_combout & (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_pic_inst|pix_data~22_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~23_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'h0020;
+defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N2
+cycloneive_lcell_comb \vga_pic_inst|LessThan10~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan10~0_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|LessThan17~2_combout ) # (!\vga_ctrl_inst|Add2~14_combout ))))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_pic_inst|LessThan17~2_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan10~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan10~0 .lut_mask = 16'h00A2;
+defparam \vga_pic_inst|LessThan10~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
+// Equation(s):
+// \vga_pic_inst|pix_data~25_combout = (!\vga_ctrl_inst|pix_x[10]~1_combout & ((\vga_pic_inst|pix_data~23_combout ) # ((!\vga_pic_inst|pix_data[13]~24_combout & \vga_pic_inst|LessThan10~0_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data[13]~24_combout ),
+ .datab(\vga_ctrl_inst|pix_x[10]~1_combout ),
+ .datac(\vga_pic_inst|pix_data~23_combout ),
+ .datad(\vga_pic_inst|LessThan10~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~25_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h3130;
+defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~14 (
+// Equation(s):
+// \vga_pic_inst|pix_data[9]~14_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~14_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[9]~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9]~14 .lut_mask = 16'h00AA;
+defparam \vga_pic_inst|pix_data[9]~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N14
+cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~15 (
+// Equation(s):
+// \vga_pic_inst|pix_data[9]~15_combout = (\vga_pic_inst|LessThan17~2_combout & (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~18_combout & \vga_pic_inst|pix_data[9]~14_combout )))
+
+ .dataa(\vga_pic_inst|LessThan17~2_combout ),
+ .datab(\vga_ctrl_inst|Add2~16_combout ),
+ .datac(\vga_ctrl_inst|Add2~18_combout ),
+ .datad(\vga_pic_inst|pix_data[9]~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[9]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9]~15 .lut_mask = 16'h0200;
+defparam \vga_pic_inst|pix_data[9]~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N22
+cycloneive_lcell_comb \vga_pic_inst|pix_data~36 (
+// Equation(s):
+// \vga_pic_inst|pix_data~36_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & !\vga_ctrl_inst|Add2~20_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|always0~2_combout ),
+ .datac(\vga_pic_inst|pix_data[9]~15_combout ),
+ .datad(\vga_ctrl_inst|Add2~20_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~36_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~36 .lut_mask = 16'h0008;
+defparam \vga_pic_inst|pix_data~36 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
+// Equation(s):
+// \vga_pic_inst|pix_data~21_combout = (!\vga_ctrl_inst|Add2~12_combout & (\vga_pic_inst|pix_data~12_combout & ((\vga_pic_inst|always0~0_combout ) # (\vga_pic_inst|LessThan14~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_pic_inst|pix_data~12_combout ),
+ .datac(\vga_pic_inst|always0~0_combout ),
+ .datad(\vga_pic_inst|LessThan14~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~21_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'h4440;
+defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N14
+cycloneive_lcell_comb \vga_pic_inst|pix_data~28 (
+// Equation(s):
+// \vga_pic_inst|pix_data~28_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~35_combout ),
+ .datab(\vga_pic_inst|pix_data~25_combout ),
+ .datac(\vga_pic_inst|pix_data~36_combout ),
+ .datad(\vga_pic_inst|pix_data~21_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~28_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~28 .lut_mask = 16'hE0C0;
+defparam \vga_pic_inst|pix_data~28 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N15
+dffeas \vga_pic_inst|pix_data[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~28_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[7]~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [9] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [9]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
+// Equation(s):
+// \vga_pic_inst|pix_data~26_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~35_combout ),
+ .datab(\vga_pic_inst|pix_data~25_combout ),
+ .datac(\vga_pic_inst|pix_data~36_combout ),
+ .datad(\vga_pic_inst|pix_data~21_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~26_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hE0C0;
+defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data~27 (
+// Equation(s):
+// \vga_pic_inst|pix_data~27_combout = (\vga_pic_inst|pix_data~26_combout ) # ((!\vga_pic_inst|pix_data[9]~15_combout & (!\vga_pic_inst|always0~2_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
+
+ .dataa(\vga_pic_inst|pix_data[9]~15_combout ),
+ .datab(\vga_pic_inst|always0~2_combout ),
+ .datac(\vga_pic_inst|pix_data~26_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~27_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~27 .lut_mask = 16'hF0F1;
+defparam \vga_pic_inst|pix_data~27 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N21
+dffeas \vga_pic_inst|pix_data[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~27_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~2 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[10]~2_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [10] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [10]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[10]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[10]~2 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[10]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N17
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[10]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add13~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
+// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
+// (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .lut_mask = 16'hF690;
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (((!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]) # ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]) # (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .lut_mask = 16'h777E;
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])))) #
+// (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .lut_mask = 16'h0990;
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N11
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & \hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]) # ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .lut_mask = 16'h7150;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .lut_mask = 16'h0A8E;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add14~2_combout = (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [4] & ((\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ) # ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [4] &
+// (((\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .lut_mask = 16'hAAD8;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add5~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add5~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~1_combout & (\vga_pic_inst|pix_data [8] & ((\vga_pic_inst|pix_data [9]) # (\vga_pic_inst|pix_data [10]))))
+
+ .dataa(\vga_pic_inst|pix_data [9]),
+ .datab(\vga_pic_inst|pix_data [10]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
+ .datad(\vga_pic_inst|pix_data [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .lut_mask = 16'hE000;
+defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .lut_mask = 16'h7722;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y21_N7
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~16 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .lut_mask = 16'h44EE;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add19~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add19~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .lut_mask = 16'h5A5F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add19~3 & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add19~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add19~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add19~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .lut_mask = 16'hA50A;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~6_combout = \hdmi_ctrl_inst|encode_inst1|Add19~5 $ (\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add19~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add22~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add22~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add22~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .lut_mask = 16'h5AAF;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~6_combout = \hdmi_ctrl_inst|encode_inst1|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add22~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~6_combout )) #
+// (!\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .lut_mask = 16'hEFEA;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add13~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
+// (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .lut_mask = 16'hC0FC;
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N23
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & (((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~7_combout &
+// (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .lut_mask = 16'h7A2A;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout &
+// (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout &
+// ((!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~12_combout &
+// (\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout &
+// (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout &
+// ((!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~4_combout &
+// (\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst1|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .lut_mask = 16'hA55A;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N19
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N15
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [4] & (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|cnt [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst1|cnt [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .lut_mask = 16'h00CC;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .lut_mask = 16'h00EE;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N11
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .lut_mask = 16'hCCE2;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~14 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .lut_mask = 16'hE2CC;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N13
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~5_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .lut_mask = 16'hA7A2;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .lut_mask = 16'hECEC;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N17
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_2~combout = (\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & (((!\hdmi_ctrl_inst|encode_inst1|cnt [3] & \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .lut_mask = 16'h3B0A;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~1_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~1_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N1
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [3]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .lut_mask = 16'hCCAA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .lut_mask = 16'hD8D8;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N21
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [0]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .lut_mask = 16'hCCAA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N31
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y23_N11
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~1_combout
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .lut_mask = 16'hAAAA;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N22
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan1~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|always1~1_combout & (!\vga_ctrl_inst|cnt_v [3] & !\vga_ctrl_inst|cnt_v [9])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(\vga_ctrl_inst|always1~1_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|cnt_v [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'h0004;
+defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N23
+dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg1 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|LessThan1~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|c1_reg1~q
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N3
+dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg2 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
+// (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .lut_mask = 16'hAAC3;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N25
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & \hdmi_ctrl_inst|encode_inst2|data_out [9])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .lut_mask = 16'hCC00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .lut_mask = 16'hBB88;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N21
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N5
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N25
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~37 (
+// Equation(s):
+// \vga_pic_inst|pix_data~37_combout = ((\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data~23_combout & !\vga_ctrl_inst|Add2~18_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|pix_data~16_combout ),
+ .datac(\vga_pic_inst|pix_data~23_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~37_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~37 .lut_mask = 16'h33B3;
+defparam \vga_pic_inst|pix_data~37 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N6
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~10 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~10_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data[13]~9_combout & !\vga_ctrl_inst|Add2~20_combout ))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(\vga_pic_inst|pix_data[13]~9_combout ),
+ .datad(\vga_ctrl_inst|Add2~20_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~10 .lut_mask = 16'h00A0;
+defparam \vga_pic_inst|pix_data[13]~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N18
+cycloneive_lcell_comb \vga_pic_inst|pix_data~33 (
+// Equation(s):
+// \vga_pic_inst|pix_data~33_combout = (\vga_pic_inst|pix_data~37_combout & ((\vga_pic_inst|pix_data~19_combout ) # (\vga_pic_inst|pix_data[13]~10_combout )))
+
+ .dataa(gnd),
+ .datab(\vga_pic_inst|pix_data~37_combout ),
+ .datac(\vga_pic_inst|pix_data~19_combout ),
+ .datad(\vga_pic_inst|pix_data[13]~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~33_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~33 .lut_mask = 16'hCCC0;
+defparam \vga_pic_inst|pix_data~33 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N19
+dffeas \vga_pic_inst|pix_data[13] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~33_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|pix_data~32 (
+// Equation(s):
+// \vga_pic_inst|pix_data~32_combout = (\vga_pic_inst|pix_data~37_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & ((\vga_pic_inst|pix_data[13]~9_combout ) # (!\vga_pic_inst|pix_data~13_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data[13]~9_combout ),
+ .datab(\vga_pic_inst|pix_data~37_combout ),
+ .datac(\vga_pic_inst|pix_data~13_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~32_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~32 .lut_mask = 16'h008C;
+defparam \vga_pic_inst|pix_data~32 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N25
+dffeas \vga_pic_inst|pix_data[15] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~32_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add6~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\vga_pic_inst|pix_data [13] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_pic_inst|pix_data [15])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .datab(\vga_pic_inst|pix_data [13]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_pic_inst|pix_data [15]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .lut_mask = 16'h8000;
+defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .lut_mask = 16'h00FF;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[13]~6 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[13]~6_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [13] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [13]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[13]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[13]~6 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[13]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[13]~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~5 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[12]~5_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [15] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [15]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[12]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[12]~5 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[12]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[12]~5_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]) # ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) # (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .lut_mask = 16'hFFFA;
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N25
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add12~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .lut_mask = 16'h00AA;
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N15
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (!\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .lut_mask = 16'h5F50;
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .lut_mask = 16'h0C8E;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst2|Add14~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) #
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .lut_mask = 16'h8241;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_2~combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .lut_mask = 16'h0CAE;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .lut_mask = 16'h3C3F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .lut_mask = 16'hA050;
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|Add23~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add23~1 ))))
+// \hdmi_ctrl_inst|encode_inst2|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((!\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|Add23~1 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add23~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add23~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .lut_mask = 16'h692B;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add23~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst2|Add23~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add23~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add23~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .lut_mask = 16'h5A05;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|condition_2~combout )) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~4_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .lut_mask = 16'hD9C8;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~16 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|cnt [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .lut_mask = 16'h7744;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC)))
+// \hdmi_ctrl_inst|encode_inst2|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1]) # (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add22~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .lut_mask = 16'h99EE;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add22~0_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .lut_mask = 16'hAA72;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & \hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add19~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~14 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~13_combout & (((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~13_combout
+// & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .lut_mask = 16'h7C4C;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout &
+// (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout &
+// ((!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~12_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|cnt [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst2|cnt [3])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .lut_mask = 16'h00F0;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .lut_mask = 16'h00EE;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add15~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|cnt
+// [2] & !\hdmi_ctrl_inst|encode_inst2|Add15~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// (\hdmi_ctrl_inst|encode_inst2|Add20~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add20~1 ))))
+// \hdmi_ctrl_inst|encode_inst2|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
+// ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~1 ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add20~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add20~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .lut_mask = 16'h694D;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ) # (\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add23~2_combout & ((!\hdmi_ctrl_inst|encode_inst2|condition_2~combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .lut_mask = 16'hAAE4;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~7_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~7_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add17~4_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .lut_mask = 16'hCFA0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
+// (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout &
+// ((!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add15~5
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] &
+// ((!\hdmi_ctrl_inst|encode_inst2|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add20~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add20~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add20~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .lut_mask = 16'h5AAF;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~3_combout & (\hdmi_ctrl_inst|encode_inst2|Add15~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~3_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ))))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .lut_mask = 16'hE6C4;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~4_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~6_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~6_combout = \hdmi_ctrl_inst|encode_inst2|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add22~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~6_combout = \hdmi_ctrl_inst|encode_inst2|Add19~5 $ (\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add19~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~6_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .lut_mask = 16'hFEF4;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~8_combout = \hdmi_ctrl_inst|encode_inst2|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add20~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add20~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~8_combout = \hdmi_ctrl_inst|encode_inst2|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add23~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add23~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~8_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~6_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .lut_mask = 16'hEE50;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add15~8_combout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ))))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .lut_mask = 16'hDDA0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst2|Add16~2_combout $ (\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .lut_mask = 16'hC33C;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N11
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst2|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [4] &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & (\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .lut_mask = 16'hFC0A;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .lut_mask = 16'h7722;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .lut_mask = 16'hCF03;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .lut_mask = 16'hCC00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N5
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N3
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N1
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y24_N4
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y22_N25
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y23_N18
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y24_N11
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+endmodule
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo
index ec034b8..0ae9191 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo
@@ -1,11443 +1,11443 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-// VENDOR "Altera"
-// PROGRAM "Quartus II 64-Bit"
-// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version"
-
-// DATE "06/02/2023 04:17:19"
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This Verilog file should be used for ModelSim (Verilog) only
-//
-
-`timescale 1 ps/ 1 ps
-
-module hdmi_colorbar (
- sys_clk,
- sys_rst_n,
- ddc_scl,
- ddc_sda,
- tmds_clk_p,
- tmds_clk_n,
- tmds_data_p,
- tmds_data_n);
-input sys_clk;
-input sys_rst_n;
-output ddc_scl;
-output ddc_sda;
-output tmds_clk_p;
-output tmds_clk_n;
-output [2:0] tmds_data_p;
-output [2:0] tmds_data_n;
-
-// Design Ports Information
-// ddc_scl => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default
-// ddc_sda => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_clk_p => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_clk_n => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_p[0] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_p[1] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_p[2] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_n[0] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_n[1] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_n[2] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default
-// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
-// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
-
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-tri1 devclrn;
-tri1 devpor;
-tri1 devoe;
-// synopsys translate_off
-initial $sdf_annotate("hdmi_colorbar_8_1200mv_0c_v_slow.sdo");
-// synopsys translate_on
-
-wire \hdmi_ctrl_inst|encode_inst0|Add20~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~7 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~7 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~7 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~7 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~7 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~6_combout ;
-wire \vga_ctrl_inst|Add0~2_combout ;
-wire \vga_ctrl_inst|Add0~10_combout ;
-wire \vga_ctrl_inst|Add1~2_combout ;
-wire \vga_ctrl_inst|Add1~6_combout ;
-wire \vga_ctrl_inst|Add1~8_combout ;
-wire \vga_ctrl_inst|Add1~10_combout ;
-wire \vga_ctrl_inst|Add1~12_combout ;
-wire \vga_ctrl_inst|Add1~14_combout ;
-wire \vga_ctrl_inst|Add1~16_combout ;
-wire \vga_ctrl_inst|Add1~18_combout ;
-wire \vga_ctrl_inst|Add1~20_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~16_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add12~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~14_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~16_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~10_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~16_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add14~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~3_combout ;
-wire \vga_ctrl_inst|pix_data_req~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~5_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~5_combout ;
-wire \vga_ctrl_inst|pix_x[10]~1_combout ;
-wire \vga_pic_inst|always0~1_combout ;
-wire \vga_pic_inst|always0~2_combout ;
-wire \vga_pic_inst|pix_data[9]~14_combout ;
-wire \vga_pic_inst|pix_data~16_combout ;
-wire \vga_ctrl_inst|pix_data_req~8_combout ;
-wire \vga_ctrl_inst|cnt_v[10]~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ;
-wire \vga_pic_inst|LessThan10~0_combout ;
-wire \vga_pic_inst|pix_data~22_combout ;
-wire \vga_pic_inst|pix_data~23_combout ;
-wire \vga_pic_inst|LessThan14~1_combout ;
-wire \vga_pic_inst|pix_data[13]~24_combout ;
-wire \vga_pic_inst|pix_data~25_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~5_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~8_combout ;
-wire \vga_pic_inst|pix_data~37_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ;
-wire \sys_clk~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~0_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
-wire \sys_rst_n~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
-wire \rst_n~0_combout ;
-wire \rst_n~0clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~1 ;
-wire \vga_ctrl_inst|Add0~3 ;
-wire \vga_ctrl_inst|Add0~5 ;
-wire \vga_ctrl_inst|Add0~6_combout ;
-wire \vga_ctrl_inst|Add0~7 ;
-wire \vga_ctrl_inst|Add0~8_combout ;
-wire \vga_ctrl_inst|Add0~9 ;
-wire \vga_ctrl_inst|Add0~11 ;
-wire \vga_ctrl_inst|Add0~12_combout ;
-wire \vga_ctrl_inst|Add0~13 ;
-wire \vga_ctrl_inst|Add0~14_combout ;
-wire \vga_ctrl_inst|Add0~4_combout ;
-wire \vga_ctrl_inst|Equal0~0_combout ;
-wire \vga_ctrl_inst|Add0~15 ;
-wire \vga_ctrl_inst|Add0~16_combout ;
-wire \vga_ctrl_inst|Add0~19 ;
-wire \vga_ctrl_inst|Add0~20_combout ;
-wire \vga_ctrl_inst|Add0~21 ;
-wire \vga_ctrl_inst|Add0~22_combout ;
-wire \vga_ctrl_inst|Equal0~1_combout ;
-wire \vga_ctrl_inst|cnt_h~0_combout ;
-wire \vga_ctrl_inst|Equal0~2_combout ;
-wire \vga_ctrl_inst|cnt_h~2_combout ;
-wire \vga_ctrl_inst|Add0~17 ;
-wire \vga_ctrl_inst|Add0~18_combout ;
-wire \vga_ctrl_inst|cnt_h~1_combout ;
-wire \vga_ctrl_inst|LessThan4~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ;
-wire \vga_ctrl_inst|Add2~1_cout ;
-wire \vga_ctrl_inst|Add2~3_cout ;
-wire \vga_ctrl_inst|Add2~5_cout ;
-wire \vga_ctrl_inst|Add2~7_cout ;
-wire \vga_ctrl_inst|Add2~9_cout ;
-wire \vga_ctrl_inst|Add2~11 ;
-wire \vga_ctrl_inst|Add2~13 ;
-wire \vga_ctrl_inst|Add2~15 ;
-wire \vga_ctrl_inst|Add2~17 ;
-wire \vga_ctrl_inst|Add2~18_combout ;
-wire \vga_ctrl_inst|Add2~16_combout ;
-wire \vga_ctrl_inst|Add2~14_combout ;
-wire \vga_pic_inst|pix_data~12_combout ;
-wire \vga_ctrl_inst|Add2~12_combout ;
-wire \vga_ctrl_inst|pix_data_req~5_combout ;
-wire \vga_ctrl_inst|Equal0~3_combout ;
-wire \vga_ctrl_inst|cnt_v[7]~7_combout ;
-wire \vga_ctrl_inst|cnt_v[5]~10_combout ;
-wire \vga_ctrl_inst|cnt_v[8]~6_combout ;
-wire \vga_ctrl_inst|always1~0_combout ;
-wire \vga_ctrl_inst|cnt_v[1]~1_combout ;
-wire \vga_ctrl_inst|cnt_v[4]~5_combout ;
-wire \vga_ctrl_inst|always1~1_combout ;
-wire \vga_ctrl_inst|Add1~0_combout ;
-wire \vga_ctrl_inst|cnt_v[0]~2_combout ;
-wire \vga_ctrl_inst|cnt_v[3]~3_combout ;
-wire \vga_ctrl_inst|always1~2_combout ;
-wire \vga_ctrl_inst|cnt_v[11]~0_combout ;
-wire \vga_ctrl_inst|cnt_v[9]~9_combout ;
-wire \vga_ctrl_inst|cnt_v[6]~8_combout ;
-wire \vga_ctrl_inst|Add1~1 ;
-wire \vga_ctrl_inst|Add1~3 ;
-wire \vga_ctrl_inst|Add1~4_combout ;
-wire \vga_ctrl_inst|cnt_v[2]~4_combout ;
-wire \vga_ctrl_inst|Add1~5 ;
-wire \vga_ctrl_inst|Add1~7 ;
-wire \vga_ctrl_inst|Add1~9 ;
-wire \vga_ctrl_inst|Add1~11 ;
-wire \vga_ctrl_inst|Add1~13 ;
-wire \vga_ctrl_inst|Add1~15 ;
-wire \vga_ctrl_inst|Add1~17 ;
-wire \vga_ctrl_inst|Add1~19 ;
-wire \vga_ctrl_inst|Add1~21 ;
-wire \vga_ctrl_inst|Add1~22_combout ;
-wire \vga_ctrl_inst|cnt_v[11]~11_combout ;
-wire \vga_ctrl_inst|pix_data_req~2_combout ;
-wire \vga_ctrl_inst|pix_data_req~4_combout ;
-wire \vga_ctrl_inst|pix_data_req~6_combout ;
-wire \vga_ctrl_inst|pix_data_req~7_combout ;
-wire \vga_pic_inst|pix_data[13]~11_combout ;
-wire \vga_pic_inst|always0~0_combout ;
-wire \vga_pic_inst|pix_data~13_combout ;
-wire \vga_pic_inst|pix_data~17_combout ;
-wire \vga_pic_inst|pix_data~34_combout ;
-wire \vga_pic_inst|pix_data[13]~8_combout ;
-wire \vga_pic_inst|pix_data[13]~9_combout ;
-wire \vga_pic_inst|pix_data[13]~10_combout ;
-wire \vga_pic_inst|pix_data~18_combout ;
-wire \vga_ctrl_inst|Add2~19 ;
-wire \vga_ctrl_inst|Add2~20_combout ;
-wire \vga_ctrl_inst|Add2~10_combout ;
-wire \vga_pic_inst|LessThan17~4_combout ;
-wire \vga_pic_inst|LessThan17~3_combout ;
-wire \vga_pic_inst|LessThan14~0_combout ;
-wire \vga_pic_inst|pix_data~19_combout ;
-wire \vga_pic_inst|pix_data~20_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add6~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ;
-wire \vga_ctrl_inst|LessThan6~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~1_combout ;
-wire \vga_ctrl_inst|rgb[1]~0_combout ;
-wire \vga_ctrl_inst|rgb[2]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add14~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~10_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add12~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add14~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~14_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add4~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|de_reg1~q ;
-wire \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|de_reg2~q ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_2~combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ;
-wire \vga_ctrl_inst|LessThan0~0_combout ;
-wire \vga_ctrl_inst|LessThan0~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c0_reg1~q ;
-wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~q ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ;
-wire \vga_ctrl_inst|LessThan1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c1_reg1~q ;
-wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~q ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~7_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ;
-wire \vga_pic_inst|LessThan17~2_combout ;
-wire \vga_pic_inst|pix_data[9]~15_combout ;
-wire \vga_pic_inst|pix_data~35_combout ;
-wire \vga_pic_inst|pix_data~36_combout ;
-wire \vga_pic_inst|pix_data~21_combout ;
-wire \vga_pic_inst|pix_data~26_combout ;
-wire \vga_ctrl_inst|pix_x[11]~0_combout ;
-wire \vga_pic_inst|pix_data~27_combout ;
-wire \vga_ctrl_inst|rgb[10]~2_combout ;
-wire \vga_pic_inst|pix_data~29_combout ;
-wire \vga_pic_inst|pix_data~30_combout ;
-wire \vga_pic_inst|pix_data~31_combout ;
-wire \vga_ctrl_inst|rgb[6]~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add5~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add14~1_combout ;
-wire \vga_pic_inst|pix_data~28_combout ;
-wire \vga_ctrl_inst|rgb[7]~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add13~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add13~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add14~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add14~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~10_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_2~combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~7_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ;
-wire \vga_pic_inst|pix_data~33_combout ;
-wire \vga_ctrl_inst|rgb[13]~6_combout ;
-wire \vga_pic_inst|pix_data~32_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add6~0_combout ;
-wire \vga_ctrl_inst|rgb[12]~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add12~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add14~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add12~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_2~combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~14_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~7 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~7_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ;
-wire [9:0] \hdmi_ctrl_inst|encode_inst0|data_out ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [11:0] \vga_ctrl_inst|cnt_v ;
-wire [11:0] \vga_ctrl_inst|cnt_h ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s ;
-wire [7:0] \hdmi_ctrl_inst|encode_inst0|data_in_reg ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [8:0] \hdmi_ctrl_inst|encode_inst0|q_m_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n1 ;
-wire [15:0] \vga_pic_inst|pix_data ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s ;
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst0|data_in_n1 ;
-wire [2:0] \hdmi_ctrl_inst|par_to_ser_inst0|cnt ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n0 ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [4:0] \hdmi_ctrl_inst|encode_inst0|cnt ;
-wire [4:0] \hdmi_ctrl_inst|encode_inst1|cnt ;
-wire [9:0] \hdmi_ctrl_inst|encode_inst1|data_out ;
-wire [8:0] \hdmi_ctrl_inst|encode_inst1|q_m_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n0 ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n1 ;
-wire [7:0] \hdmi_ctrl_inst|encode_inst1|data_in_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst1|data_in_n1 ;
-wire [4:0] \hdmi_ctrl_inst|encode_inst2|cnt ;
-wire [9:0] \hdmi_ctrl_inst|encode_inst2|data_out ;
-wire [8:0] \hdmi_ctrl_inst|encode_inst2|q_m_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n0 ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n1 ;
-wire [7:0] \hdmi_ctrl_inst|encode_inst2|data_in_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst2|data_in_n1 ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
-
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
-
-// Location: PLL_2
-cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
- .areset(!\sys_rst_n~input_o ),
- .pfdena(vcc),
- .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .phaseupdown(gnd),
- .phasestep(gnd),
- .scandata(gnd),
- .scanclk(gnd),
- .scanclkena(vcc),
- .configupdate(gnd),
- .clkswitch(gnd),
- .inclk({gnd,\sys_clk~input_o }),
- .phasecounterselect(3'b000),
- .phasedone(),
- .scandataout(),
- .scandone(),
- .activeclock(),
- .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .vcooverrange(),
- .vcounderrange(),
- .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
- .clkbad());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 10;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 10;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "even";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 5;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 10;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 5989;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 250;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N7
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N9
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N13
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add20~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .lut_mask = 16'h66BB;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// ((\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add20~1 ))))
-// \hdmi_ctrl_inst|encode_inst0|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add20~1 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add20~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add20~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .lut_mask = 16'h692B;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add20~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add20~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add20~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add20~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add20~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add17~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst0|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst0|Add17~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .lut_mask = 16'h3C3F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~7 )
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .lut_mask = 16'hC3C3;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add23~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .lut_mask = 16'h66DD;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// (\hdmi_ctrl_inst|encode_inst0|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
-// \hdmi_ctrl_inst|encode_inst0|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
-// ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add23~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add23~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .lut_mask = 16'h694D;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add23~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst0|Add23~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add23~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add23~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .lut_mask = 16'h5A05;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add23~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add23~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add15~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst0|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst0|Add15~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add15~5
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] &
-// ((!\hdmi_ctrl_inst|encode_inst0|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~7 )
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .lut_mask = 16'hC3C3;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add19~3 & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add19~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add19~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add19~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .lut_mask = 16'hA50A;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add22~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add22~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add22~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout &
-// (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout &
-// ((!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~12_combout &
-// (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout &
-// (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout &
-// ((!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N31
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add20~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .lut_mask = 16'h66BB;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add20~1 ))))
-// \hdmi_ctrl_inst|encode_inst1|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add20~1 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add20~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add20~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .lut_mask = 16'h692B;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add20~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add20~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add20~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .lut_mask = 16'h3CCF;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add20~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add20~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add17~1 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add17~1 )
-// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1
-// [2] & !\hdmi_ctrl_inst|encode_inst1|Add17~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~7 )
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .lut_mask = 16'hA5A5;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add23~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .lut_mask = 16'h66DD;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add23~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add23~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add23~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add23~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .lut_mask = 16'h3C03;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add23~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add23~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (\hdmi_ctrl_inst|encode_inst1|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|Add15~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & !\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst1|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add15~5 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((!\hdmi_ctrl_inst|encode_inst1|Add15~5 )
-// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~7 )
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .lut_mask = 16'hA5A5;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst1|cnt [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add19~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add19~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add19~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add19~3 & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add19~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add19~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add19~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .lut_mask = 16'hA50A;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~6_combout = \hdmi_ctrl_inst|encode_inst1|Add19~5 $ (\hdmi_ctrl_inst|encode_inst1|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add19~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC)))
-// \hdmi_ctrl_inst|encode_inst1|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst1|cnt [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add22~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .lut_mask = 16'h99EE;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
-// \hdmi_ctrl_inst|encode_inst1|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add22~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add22~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .lut_mask = 16'hA505;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add22~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add22~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add22~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add20~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .lut_mask = 16'h66BB;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add20~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add20~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add20~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .lut_mask = 16'h3CCF;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [0] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add17~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst2|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add17~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst2|Add17~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add23~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .lut_mask = 16'h66DD;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add23~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst2|Add23~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add23~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add23~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .lut_mask = 16'h3C03;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add15~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst2|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add15~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0
-// [2] & !\hdmi_ctrl_inst|encode_inst2|Add15~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add15~5
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] &
-// ((!\hdmi_ctrl_inst|encode_inst2|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~8_combout = \hdmi_ctrl_inst|encode_inst2|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add19~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add19~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .lut_mask = 16'h3C3F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add19~3 & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add19~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add19~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add19~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .lut_mask = 16'hA50A;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~6_combout = \hdmi_ctrl_inst|encode_inst2|Add19~5 $ (\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add19~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC)))
-// \hdmi_ctrl_inst|encode_inst2|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1]) # (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add22~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .lut_mask = 16'h99EE;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
-// \hdmi_ctrl_inst|encode_inst2|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|cnt [2] & !\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add22~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add22~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .lut_mask = 16'hC303;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add22~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add22~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add22~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~6_combout = \hdmi_ctrl_inst|encode_inst2|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add22~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N11
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y22_N19
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
-// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~1 ),
- .combout(\vga_ctrl_inst|Add0~2_combout ),
- .cout(\vga_ctrl_inst|Add0~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
-// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
-
- .dataa(\vga_ctrl_inst|cnt_h [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~9 ),
- .combout(\vga_ctrl_inst|Add0~10_combout ),
- .cout(\vga_ctrl_inst|Add0~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
-// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~1 ),
- .combout(\vga_ctrl_inst|Add1~2_combout ),
- .cout(\vga_ctrl_inst|Add1~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
-// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
-
- .dataa(\vga_ctrl_inst|cnt_v [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~5 ),
- .combout(\vga_ctrl_inst|Add1~6_combout ),
- .cout(\vga_ctrl_inst|Add1~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
-// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~7 ),
- .combout(\vga_ctrl_inst|Add1~8_combout ),
- .cout(\vga_ctrl_inst|Add1~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
-// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
-
- .dataa(\vga_ctrl_inst|cnt_v [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~9 ),
- .combout(\vga_ctrl_inst|Add1~10_combout ),
- .cout(\vga_ctrl_inst|Add1~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
-// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~11 ),
- .combout(\vga_ctrl_inst|Add1~12_combout ),
- .cout(\vga_ctrl_inst|Add1~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
-// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
-
- .dataa(\vga_ctrl_inst|cnt_v [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~13 ),
- .combout(\vga_ctrl_inst|Add1~14_combout ),
- .cout(\vga_ctrl_inst|Add1~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
-// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~15 ),
- .combout(\vga_ctrl_inst|Add1~16_combout ),
- .cout(\vga_ctrl_inst|Add1~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~18_combout = (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|Add1~17 )) # (!\vga_ctrl_inst|cnt_v [9] & ((\vga_ctrl_inst|Add1~17 ) # (GND)))
-// \vga_ctrl_inst|Add1~19 = CARRY((!\vga_ctrl_inst|Add1~17 ) # (!\vga_ctrl_inst|cnt_v [9]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~17 ),
- .combout(\vga_ctrl_inst|Add1~18_combout ),
- .cout(\vga_ctrl_inst|Add1~19 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~20 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~20_combout = (\vga_ctrl_inst|cnt_v [10] & (\vga_ctrl_inst|Add1~19 $ (GND))) # (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|Add1~19 & VCC))
-// \vga_ctrl_inst|Add1~21 = CARRY((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Add1~19 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [10]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~19 ),
- .combout(\vga_ctrl_inst|Add1~20_combout ),
- .cout(\vga_ctrl_inst|Add1~21 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~20 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~20 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N11
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .lut_mask = 16'h00F0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N15
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .lut_mask = 16'h0A8E;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|cnt [0])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N27
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N19
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .lut_mask = 16'h4F04;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N31
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]) # (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .lut_mask = 16'hFFF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .lut_mask = 16'hCCE2;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~0_combout
-// & (((\hdmi_ctrl_inst|encode_inst0|Add20~6_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .lut_mask = 16'hD8AA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .lut_mask = 16'hF2C2;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~3_combout
-// & (\hdmi_ctrl_inst|encode_inst0|Add20~4_combout & ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ))) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .lut_mask = 16'h3210;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst0|Add20~2_combout )) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .lut_mask = 16'hFA0C;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~7_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~7_combout
-// & (((\hdmi_ctrl_inst|encode_inst0|Add17~4_combout & \hdmi_ctrl_inst|encode_inst0|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .lut_mask = 16'hD8AA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ) # ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add23~0_combout & !\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .lut_mask = 16'hF0AC;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~12 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst0|Add20~0_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .lut_mask = 16'hD8AA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~16 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|cnt [0])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .lut_mask = 16'h5F0A;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .lut_mask = 16'h0F00;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add12~1_combout = (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] & \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .lut_mask = 16'h3300;
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N7
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~2_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .lut_mask = 16'h995A;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .lut_mask = 16'hACAC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .lut_mask = 16'hAAE4;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~0_combout
-// & (((\hdmi_ctrl_inst|encode_inst1|Add20~6_combout & \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .lut_mask = 16'hACF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~5_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~4_combout & (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .lut_mask = 16'hA4AE;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .lut_mask = 16'hF8F8;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .lut_mask = 16'hAF44;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & (((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~7_combout &
-// (\hdmi_ctrl_inst|encode_inst1|Add19~2_combout & (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .lut_mask = 16'h2CEC;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~14 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .lut_mask = 16'hE2CC;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~16 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|cnt [0])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .lut_mask = 16'h3F0C;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .lut_mask = 16'h00AA;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N31
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N1
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~2_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [2] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .lut_mask = 16'hA53C;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add19~6_combout )) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .lut_mask = 16'hFAFC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~4_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .lut_mask = 16'hE3E0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~3_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~3_combout
-// & (((\hdmi_ctrl_inst|encode_inst2|Add20~4_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .lut_mask = 16'hACF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2])))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add22~2_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .lut_mask = 16'hAA4E;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~10 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & (((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~9_combout &
-// (\hdmi_ctrl_inst|encode_inst2|Add19~2_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .lut_mask = 16'h3AF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~12 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (\hdmi_ctrl_inst|encode_inst2|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add22~0_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .lut_mask = 16'hAA72;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~16 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|cnt [0]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .lut_mask = 16'h0FCC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .lut_mask = 16'hC00C;
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N25
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~2_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .lut_mask = 16'h939C;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .lut_mask = 16'hF303;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|cnt_v [8])))
-
- .dataa(\vga_ctrl_inst|cnt_v [9]),
- .datab(\vga_ctrl_inst|cnt_v [7]),
- .datac(\vga_ctrl_inst|cnt_v [6]),
- .datad(\vga_ctrl_inst|cnt_v [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N31
-dffeas \vga_ctrl_inst|cnt_v[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[10]~12_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[10] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9]))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|always1~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'hA200;
-defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~5_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .lut_mask = 16'h995A;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N19
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N31
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N27
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [7])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N21
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~4_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .lut_mask = 16'hA53C;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N9
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~5_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .lut_mask = 16'hC366;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N11
-dffeas \vga_ctrl_inst|cnt_h[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|pix_x[10]~1 (
-// Equation(s):
-// \vga_ctrl_inst|pix_x[10]~1_combout = (\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~18_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_x[10]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_x[10]~1 .lut_mask = 16'hFF0F;
-defparam \vga_ctrl_inst|pix_x[10]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N10
-cycloneive_lcell_comb \vga_pic_inst|always0~1 (
-// Equation(s):
-// \vga_pic_inst|always0~1_combout = (\vga_ctrl_inst|Add2~14_combout ) # ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|always0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|always0~1 .lut_mask = 16'hFFAF;
-defparam \vga_pic_inst|always0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N12
-cycloneive_lcell_comb \vga_pic_inst|always0~2 (
-// Equation(s):
-// \vga_pic_inst|always0~2_combout = (\vga_pic_inst|always0~1_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_pic_inst|LessThan17~2_combout )))
-
- .dataa(\vga_pic_inst|always0~1_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|LessThan17~2_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|always0~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|always0~2 .lut_mask = 16'hFFFE;
-defparam \vga_pic_inst|always0~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N6
-cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~14 (
-// Equation(s):
-// \vga_pic_inst|pix_data[9]~14_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~14_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[9]~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9]~14 .lut_mask = 16'h00F0;
-defparam \vga_pic_inst|pix_data[9]~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
-// Equation(s):
-// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & \vga_pic_inst|pix_data[13]~9_combout )))
-
- .dataa(\vga_pic_inst|always0~2_combout ),
- .datab(\vga_pic_inst|pix_data[9]~15_combout ),
- .datac(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .datad(\vga_pic_inst|pix_data[13]~9_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'h0200;
-defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~8 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~8_combout = (!\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|cnt_v [10])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [11]),
- .datac(\vga_ctrl_inst|cnt_v [10]),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~8 .lut_mask = 16'h0303;
-defparam \vga_ctrl_inst|pix_data_req~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[10]~12 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[10]~12_combout = (\vga_ctrl_inst|Add1~20_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [10])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~20_combout & (!\vga_ctrl_inst|Equal0~3_combout
-// & (\vga_ctrl_inst|cnt_v [10])))
-
- .dataa(\vga_ctrl_inst|Add1~20_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [10]),
- .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[10]~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[10]~12 .lut_mask = 16'h30BA;
-defparam \vga_ctrl_inst|cnt_v[10]~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .lut_mask = 16'h3C3C;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N23
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .lut_mask = 16'hA0A0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N22
-cycloneive_lcell_comb \vga_pic_inst|LessThan10~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan10~0_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|LessThan17~2_combout ) # (!\vga_ctrl_inst|Add2~14_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_pic_inst|LessThan17~2_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan10~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan10~0 .lut_mask = 16'h080A;
-defparam \vga_pic_inst|LessThan10~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
-// Equation(s):
-// \vga_pic_inst|pix_data~22_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h00F0;
-defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
-// Equation(s):
-// \vga_pic_inst|pix_data~23_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_pic_inst|pix_data~22_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_pic_inst|pix_data~22_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~23_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'h0400;
-defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N20
-cycloneive_lcell_comb \vga_pic_inst|LessThan14~1 (
-// Equation(s):
-// \vga_pic_inst|LessThan14~1_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan14~1 .lut_mask = 16'hAA00;
-defparam \vga_pic_inst|LessThan14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~24 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~24_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_pic_inst|LessThan14~1_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_pic_inst|LessThan14~1_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~24_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~24 .lut_mask = 16'h0002;
-defparam \vga_pic_inst|pix_data[13]~24 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N8
-cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
-// Equation(s):
-// \vga_pic_inst|pix_data~25_combout = (!\vga_ctrl_inst|pix_x[10]~1_combout & ((\vga_pic_inst|pix_data~23_combout ) # ((\vga_pic_inst|LessThan10~0_combout & !\vga_pic_inst|pix_data[13]~24_combout ))))
-
- .dataa(\vga_pic_inst|LessThan10~0_combout ),
- .datab(\vga_ctrl_inst|pix_x[10]~1_combout ),
- .datac(\vga_pic_inst|pix_data[13]~24_combout ),
- .datad(\vga_pic_inst|pix_data~23_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~25_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h3302;
-defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .lut_mask = 16'hC33C;
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~5_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [7] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .lut_mask = 16'h9A56;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N9
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .lut_mask = 16'hC0C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst2|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .lut_mask = 16'h33CC;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N23
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .lut_mask = 16'h8888;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .lut_mask = 16'h8D8D;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [7] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .lut_mask = 16'hC33C;
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~6_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
-// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .lut_mask = 16'hEB41;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .lut_mask = 16'hB1B1;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N14
-cycloneive_lcell_comb \vga_pic_inst|pix_data~37 (
-// Equation(s):
-// \vga_pic_inst|pix_data~37_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~23_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
-
- .dataa(\vga_pic_inst|pix_data~16_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data~23_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~37_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~37 .lut_mask = 16'h7555;
-defparam \vga_pic_inst|pix_data~37 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: CLKCTRL_G8
-cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~2_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~2_combout
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .lut_mask = 16'hAAAA;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~2_combout
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .lut_mask = 16'hAAAA;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~5_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~4_combout
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .lut_mask = 16'hCCCC;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~5_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~5_combout
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .lut_mask = 16'hAAAA;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y13_N16
-cycloneive_io_obuf \ddc_scl~output (
- .i(vcc),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(ddc_scl),
- .obar());
-// synopsys translate_off
-defparam \ddc_scl~output .bus_hold = "false";
-defparam \ddc_scl~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y10_N16
-cycloneive_io_obuf \ddc_sda~output (
- .i(vcc),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(ddc_sda),
- .obar());
-// synopsys translate_off
-defparam \ddc_sda~output .bus_hold = "false";
-defparam \ddc_sda~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y21_N23
-cycloneive_io_obuf \tmds_clk_p~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_clk_p),
- .obar());
-// synopsys translate_off
-defparam \tmds_clk_p~output .bus_hold = "false";
-defparam \tmds_clk_p~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y20_N2
-cycloneive_io_obuf \tmds_clk_n~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_clk_n),
- .obar());
-// synopsys translate_off
-defparam \tmds_clk_n~output .bus_hold = "false";
-defparam \tmds_clk_n~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y22_N16
-cycloneive_io_obuf \tmds_data_p[0]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_p[0]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_p[0]~output .bus_hold = "false";
-defparam \tmds_data_p[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y23_N9
-cycloneive_io_obuf \tmds_data_p[1]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_p[1]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_p[1]~output .bus_hold = "false";
-defparam \tmds_data_p[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y24_N2
-cycloneive_io_obuf \tmds_data_p[2]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_p[2]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_p[2]~output .bus_hold = "false";
-defparam \tmds_data_p[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y22_N23
-cycloneive_io_obuf \tmds_data_n[0]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_n[0]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_n[0]~output .bus_hold = "false";
-defparam \tmds_data_n[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y23_N16
-cycloneive_io_obuf \tmds_data_n[1]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_n[1]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_n[1]~output .bus_hold = "false";
-defparam \tmds_data_n[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y24_N9
-cycloneive_io_obuf \tmds_data_n[2]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_n[2]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_n[2]~output .bus_hold = "false";
-defparam \tmds_data_n[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .lut_mask = 16'h0303;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] $ (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N19
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] $ (((\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .lut_mask = 16'h3CF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N17
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .lut_mask = 16'h00AA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N31
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) # (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .lut_mask = 16'hFCFC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N5
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .lut_mask = 16'h00F0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N29
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N23
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y15_N22
-cycloneive_io_ibuf \sys_clk~input (
- .i(sys_clk),
- .ibar(gnd),
- .o(\sys_clk~input_o ));
-// synopsys translate_off
-defparam \sys_clk~input .bus_hold = "false";
-defparam \sys_clk~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: CLKCTRL_G9
-cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1]}),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock";
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y21_N25
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y20_N4
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
-// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add0~0_combout ),
- .cout(\vga_ctrl_inst|Add0~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
-defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y26_N0
-cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
-// Equation(s):
-// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y4_N1
-cycloneive_io_ibuf \sys_rst_n~input (
- .i(sys_rst_n),
- .ibar(gnd),
- .o(\sys_rst_n~input_o ));
-// synopsys translate_off
-defparam \sys_rst_n~input .bus_hold = "false";
-defparam \sys_rst_n~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: FF_X40_Y26_N1
-dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .asdata(vcc),
- .clrn(\sys_rst_n~input_o ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y26_N18
-cycloneive_lcell_comb \rst_n~0 (
-// Equation(s):
-// \rst_n~0_combout = ((!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\sys_rst_n~input_o )
-
- .dataa(\sys_rst_n~input_o ),
- .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .datac(gnd),
- .datad(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .cin(gnd),
- .combout(\rst_n~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \rst_n~0 .lut_mask = 16'h77FF;
-defparam \rst_n~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: CLKCTRL_G7
-cycloneive_clkctrl \rst_n~0clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\rst_n~0clkctrl_outclk ));
-// synopsys translate_off
-defparam \rst_n~0clkctrl .clock_type = "global clock";
-defparam \rst_n~0clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N9
-dffeas \vga_ctrl_inst|cnt_h[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
-// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~3 ),
- .combout(\vga_ctrl_inst|Add0~4_combout ),
- .cout(\vga_ctrl_inst|Add0~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
-// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~5 ),
- .combout(\vga_ctrl_inst|Add0~6_combout ),
- .cout(\vga_ctrl_inst|Add0~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N15
-dffeas \vga_ctrl_inst|cnt_h[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
-// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~7 ),
- .combout(\vga_ctrl_inst|Add0~8_combout ),
- .cout(\vga_ctrl_inst|Add0~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N17
-dffeas \vga_ctrl_inst|cnt_h[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
-// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~11 ),
- .combout(\vga_ctrl_inst|Add0~12_combout ),
- .cout(\vga_ctrl_inst|Add0~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N21
-dffeas \vga_ctrl_inst|cnt_h[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~12_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
-// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~13 ),
- .combout(\vga_ctrl_inst|Add0~14_combout ),
- .cout(\vga_ctrl_inst|Add0~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N23
-dffeas \vga_ctrl_inst|cnt_h[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~14_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N13
-dffeas \vga_ctrl_inst|cnt_h[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [1] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [2])))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(\vga_ctrl_inst|cnt_h [0]),
- .datad(\vga_ctrl_inst|cnt_h [2]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
-// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~15 ),
- .combout(\vga_ctrl_inst|Add0~16_combout ),
- .cout(\vga_ctrl_inst|Add0~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~18_combout = (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|Add0~17 )) # (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Add0~17 ) # (GND)))
-// \vga_ctrl_inst|Add0~19 = CARRY((!\vga_ctrl_inst|Add0~17 ) # (!\vga_ctrl_inst|cnt_h [9]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [9]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~17 ),
- .combout(\vga_ctrl_inst|Add0~18_combout ),
- .cout(\vga_ctrl_inst|Add0~19 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~20 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~20_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add0~19 $ (GND))) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add0~19 & VCC))
-// \vga_ctrl_inst|Add0~21 = CARRY((\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add0~19 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~19 ),
- .combout(\vga_ctrl_inst|Add0~20_combout ),
- .cout(\vga_ctrl_inst|Add0~21 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~20 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~20 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N29
-dffeas \vga_ctrl_inst|cnt_h[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~20_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[10] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~22 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~22_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add0~21 )
-
- .dataa(\vga_ctrl_inst|cnt_h [11]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\vga_ctrl_inst|Add0~21 ),
- .combout(\vga_ctrl_inst|Add0~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~22 .lut_mask = 16'h5A5A;
-defparam \vga_ctrl_inst|Add0~22 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N31
-dffeas \vga_ctrl_inst|cnt_h[11] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~22_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [11]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[11] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[11] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~1_combout = (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|cnt_h [11] & \vga_ctrl_inst|cnt_h [9])))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(\vga_ctrl_inst|cnt_h [11]),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h0100;
-defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N24
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~1_combout )) # (!\vga_ctrl_inst|Equal0~0_combout )))
-
- .dataa(\vga_ctrl_inst|Add0~10_combout ),
- .datab(\vga_ctrl_inst|Equal0~0_combout ),
- .datac(\vga_ctrl_inst|Equal0~1_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h2AAA;
-defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N25
-dffeas \vga_ctrl_inst|cnt_h[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|cnt_h [5] & (\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6])))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [5]),
- .datac(\vga_ctrl_inst|cnt_h [4]),
- .datad(\vga_ctrl_inst|cnt_h [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0020;
-defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~1_combout ),
- .datab(\vga_ctrl_inst|Add0~16_combout ),
- .datac(\vga_ctrl_inst|Equal0~0_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h4CCC;
-defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N3
-dffeas \vga_ctrl_inst|cnt_h[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~1_combout = (\vga_ctrl_inst|Add0~18_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~1_combout ),
- .datab(\vga_ctrl_inst|Equal0~0_combout ),
- .datac(\vga_ctrl_inst|Add0~18_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h70F0;
-defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N1
-dffeas \vga_ctrl_inst|cnt_h[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan4~0_combout = (!\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [5]),
- .datac(\vga_ctrl_inst|cnt_h [4]),
- .datad(\vga_ctrl_inst|cnt_h [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan4~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h0003;
-defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add4~0_combout = (\vga_ctrl_inst|cnt_h [8] & (((!\vga_ctrl_inst|cnt_h [7] & \vga_ctrl_inst|LessThan4~0_combout )) # (!\vga_ctrl_inst|cnt_h [9]))) # (!\vga_ctrl_inst|cnt_h [8] & ((\vga_ctrl_inst|cnt_h [9]) #
-// ((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|LessThan4~0_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(\vga_ctrl_inst|LessThan4~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .lut_mask = 16'h7A5E;
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~1_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
-defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~1_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~3_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
-defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~3_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~5_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
-defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~5_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~7_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005;
-defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [5]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~7_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~9_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00CF;
-defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
-// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~9_cout ),
- .combout(\vga_ctrl_inst|Add2~10_combout ),
- .cout(\vga_ctrl_inst|Add2~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
-defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
-// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~11 ),
- .combout(\vga_ctrl_inst|Add2~12_combout ),
- .cout(\vga_ctrl_inst|Add2~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
-// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~13 ),
- .combout(\vga_ctrl_inst|Add2~14_combout ),
- .cout(\vga_ctrl_inst|Add2~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505;
-defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~16_combout = (\vga_ctrl_inst|cnt_h [9] & ((GND) # (!\vga_ctrl_inst|Add2~15 ))) # (!\vga_ctrl_inst|cnt_h [9] & (\vga_ctrl_inst|Add2~15 $ (GND)))
-// \vga_ctrl_inst|Add2~17 = CARRY((\vga_ctrl_inst|cnt_h [9]) # (!\vga_ctrl_inst|Add2~15 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [9]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~15 ),
- .combout(\vga_ctrl_inst|Add2~16_combout ),
- .cout(\vga_ctrl_inst|Add2~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5AAF;
-defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~18_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add2~17 & VCC)) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add2~17 ))
-// \vga_ctrl_inst|Add2~19 = CARRY((!\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add2~17 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~17 ),
- .combout(\vga_ctrl_inst|Add2~18_combout ),
- .cout(\vga_ctrl_inst|Add2~19 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~18 .lut_mask = 16'hC303;
-defparam \vga_ctrl_inst|Add2~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
-// Equation(s):
-// \vga_pic_inst|pix_data~12_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'h0020;
-defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~5 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~5_combout = \vga_ctrl_inst|cnt_h [8] $ (\vga_ctrl_inst|cnt_h [9])
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(gnd),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~5 .lut_mask = 16'h5A5A;
-defparam \vga_ctrl_inst|pix_data_req~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N2
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|Equal0~1_combout & (\vga_ctrl_inst|Equal0~0_combout & \vga_ctrl_inst|Equal0~2_combout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Equal0~1_combout ),
- .datac(\vga_ctrl_inst|Equal0~0_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'hC000;
-defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~7 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[7]~7_combout = (\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~14_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[7]~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7]~7 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[7]~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N21
-dffeas \vga_ctrl_inst|cnt_v[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[7]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~10 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[5]~10_combout = (\vga_ctrl_inst|Add1~10_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [5])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~10_combout & (!\vga_ctrl_inst|Equal0~3_combout
-// & (\vga_ctrl_inst|cnt_v [5])))
-
- .dataa(\vga_ctrl_inst|Add1~10_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [5]),
- .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[5]~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5]~10 .lut_mask = 16'h30BA;
-defparam \vga_ctrl_inst|cnt_v[5]~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N27
-dffeas \vga_ctrl_inst|cnt_v[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[5]~10_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~6 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[8]~6_combout = (\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~16_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [8]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[8]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8]~6 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[8]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N19
-dffeas \vga_ctrl_inst|cnt_v[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[8]~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
-// Equation(s):
-// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [5] & !\vga_ctrl_inst|cnt_v [8])))
-
- .dataa(\vga_ctrl_inst|cnt_v [6]),
- .datab(\vga_ctrl_inst|cnt_v [7]),
- .datac(\vga_ctrl_inst|cnt_v [5]),
- .datad(\vga_ctrl_inst|cnt_v [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[1]~1_combout = (\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~2_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[1]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1]~1 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[1]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N9
-dffeas \vga_ctrl_inst|cnt_v[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[1]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~5 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[4]~5_combout = (\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~8_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [4]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[4]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4]~5 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[4]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N1
-dffeas \vga_ctrl_inst|cnt_v[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[4]~5_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N24
-cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
-// Equation(s):
-// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|pix_data_req~8_combout & (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|cnt_v [4])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~8_combout ),
- .datab(\vga_ctrl_inst|always1~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0008;
-defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
-// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add1~0_combout ),
- .cout(\vga_ctrl_inst|Add1~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h33CC;
-defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[0]~2_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [0] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~0_combout ) # ((\vga_ctrl_inst|cnt_v [0] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datab(\vga_ctrl_inst|Add1~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [0]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[0]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0]~2 .lut_mask = 16'h44F4;
-defparam \vga_ctrl_inst|cnt_v[0]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N1
-dffeas \vga_ctrl_inst|cnt_v[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[0]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~3 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[3]~3_combout = (\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~6_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [3]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[3]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3]~3 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[3]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N3
-dffeas \vga_ctrl_inst|cnt_v[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[3]~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
-// Equation(s):
-// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [0] & \vga_ctrl_inst|cnt_v [3])))
-
- .dataa(\vga_ctrl_inst|cnt_v [2]),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|cnt_v [0]),
- .datad(\vga_ctrl_inst|cnt_v [3]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0800;
-defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[11]~0_combout = ((\vga_ctrl_inst|always1~1_combout & \vga_ctrl_inst|always1~2_combout )) # (!\vga_ctrl_inst|Equal0~3_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|always1~1_combout ),
- .datac(\vga_ctrl_inst|always1~2_combout ),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[11]~0 .lut_mask = 16'hC0FF;
-defparam \vga_ctrl_inst|cnt_v[11]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~9 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[9]~9_combout = (\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~18_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[9]~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9]~9 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[9]~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N17
-dffeas \vga_ctrl_inst|cnt_v[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[9]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~8 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[6]~8_combout = (\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~12_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [6]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[6]~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6]~8 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[6]~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N23
-dffeas \vga_ctrl_inst|cnt_v[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[6]~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
-// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~3 ),
- .combout(\vga_ctrl_inst|Add1~4_combout ),
- .cout(\vga_ctrl_inst|Add1~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~4 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[2]~4_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~4_combout ) # ((\vga_ctrl_inst|cnt_v [2] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datab(\vga_ctrl_inst|Add1~4_combout ),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[2]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2]~4 .lut_mask = 16'h44F4;
-defparam \vga_ctrl_inst|cnt_v[2]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N13
-dffeas \vga_ctrl_inst|cnt_v[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[2]~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~22 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~22_combout = \vga_ctrl_inst|Add1~21 $ (\vga_ctrl_inst|cnt_v [11])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_v [11]),
- .cin(\vga_ctrl_inst|Add1~21 ),
- .combout(\vga_ctrl_inst|Add1~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~22 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add1~22 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~11 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[11]~11_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~22_combout ) # ((\vga_ctrl_inst|cnt_v [11] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datab(\vga_ctrl_inst|Add1~22_combout ),
- .datac(\vga_ctrl_inst|cnt_v [11]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[11]~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[11]~11 .lut_mask = 16'h44F4;
-defparam \vga_ctrl_inst|cnt_v[11]~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N29
-dffeas \vga_ctrl_inst|cnt_v[11] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[11]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [11]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[11] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[11] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~2_combout = (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|cnt_v [11] & (!\vga_ctrl_inst|cnt_h [11] & !\vga_ctrl_inst|cnt_h [10])))
-
- .dataa(\vga_ctrl_inst|cnt_v [10]),
- .datab(\vga_ctrl_inst|cnt_v [11]),
- .datac(\vga_ctrl_inst|cnt_h [11]),
- .datad(\vga_ctrl_inst|cnt_h [10]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~4_combout = (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9])))
-
- .dataa(\vga_ctrl_inst|always1~0_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'hAF00;
-defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~6 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~6_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Equal0~0_combout ) # (!\vga_ctrl_inst|LessThan4~0_combout )))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~0_combout &
-// (\vga_ctrl_inst|cnt_h [9] & \vga_ctrl_inst|LessThan4~0_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~0_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(\vga_ctrl_inst|LessThan4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~6 .lut_mask = 16'h180C;
-defparam \vga_ctrl_inst|pix_data_req~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~7 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~7_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|pix_data_req~5_combout ) # (\vga_ctrl_inst|pix_data_req~6_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~5_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~6_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~7 .lut_mask = 16'hA080;
-defparam \vga_ctrl_inst|pix_data_req~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~11 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~11_combout = ((\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~12_combout ))) # (!\vga_ctrl_inst|pix_data_req~7_combout )
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~11 .lut_mask = 16'hFBF3;
-defparam \vga_pic_inst|pix_data[13]~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N6
-cycloneive_lcell_comb \vga_pic_inst|always0~0 (
-// Equation(s):
-// \vga_pic_inst|always0~0_combout = (\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((!\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~16_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[13]~11_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|always0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|always0~0 .lut_mask = 16'hEFFF;
-defparam \vga_pic_inst|always0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
-// Equation(s):
-// \vga_pic_inst|pix_data~13_combout = (\vga_pic_inst|LessThan14~0_combout & (((\vga_ctrl_inst|Add2~12_combout )) # (!\vga_pic_inst|pix_data~12_combout ))) # (!\vga_pic_inst|LessThan14~0_combout & (\vga_pic_inst|always0~0_combout &
-// ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_pic_inst|pix_data~12_combout ))))
-
- .dataa(\vga_pic_inst|LessThan14~0_combout ),
- .datab(\vga_pic_inst|pix_data~12_combout ),
- .datac(\vga_ctrl_inst|Add2~12_combout ),
- .datad(\vga_pic_inst|always0~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'hF3A2;
-defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N28
-cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
-// Equation(s):
-// \vga_pic_inst|pix_data~17_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~17_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0500;
-defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N10
-cycloneive_lcell_comb \vga_pic_inst|pix_data~34 (
-// Equation(s):
-// \vga_pic_inst|pix_data~34_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~17_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
-
- .dataa(\vga_pic_inst|pix_data~16_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data~17_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~34_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~34 .lut_mask = 16'h7555;
-defparam \vga_pic_inst|pix_data~34 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N16
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~8 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~8_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~8 .lut_mask = 16'h55FF;
-defparam \vga_pic_inst|pix_data[13]~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~9 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~9_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|pix_data[13]~8_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|pix_data[13]~8_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~9 .lut_mask = 16'h0200;
-defparam \vga_pic_inst|pix_data[13]~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~10 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~10_combout = (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data[13]~9_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~20_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[13]~9_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~10 .lut_mask = 16'h5000;
-defparam \vga_pic_inst|pix_data[13]~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N8
-cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
-// Equation(s):
-// \vga_pic_inst|pix_data~18_combout = ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|pix_data~13_combout & !\vga_pic_inst|pix_data[13]~10_combout ))) # (!\vga_pic_inst|pix_data~34_combout )
-
- .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .datab(\vga_pic_inst|pix_data~13_combout ),
- .datac(\vga_pic_inst|pix_data~34_combout ),
- .datad(\vga_pic_inst|pix_data[13]~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h0F1F;
-defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N9
-dffeas \vga_pic_inst|pix_data[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~18_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~20 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~20_combout = \vga_ctrl_inst|Add2~19 $ (\vga_ctrl_inst|cnt_h [11])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [11]),
- .cin(\vga_ctrl_inst|Add2~19 ),
- .combout(\vga_ctrl_inst|Add2~20_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~20 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add2~20 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N28
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~4 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~4_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~12_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~4 .lut_mask = 16'h0010;
-defparam \vga_pic_inst|LessThan17~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N20
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~3 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~3_combout = (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~4_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~18_combout ),
- .datab(\vga_ctrl_inst|Add2~20_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|LessThan17~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~3 .lut_mask = 16'h1000;
-defparam \vga_pic_inst|LessThan17~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N14
-cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|Add2~12_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~10_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hA000;
-defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
-// Equation(s):
-// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|LessThan14~0_combout & !\vga_pic_inst|always0~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .datab(\vga_pic_inst|LessThan17~3_combout ),
- .datac(\vga_pic_inst|LessThan14~0_combout ),
- .datad(\vga_pic_inst|always0~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~19_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCCCD;
-defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
-// Equation(s):
-// \vga_pic_inst|pix_data~20_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & \vga_pic_inst|pix_data~19_combout )) # (!\vga_pic_inst|pix_data~34_combout )
-
- .dataa(gnd),
- .datab(\vga_pic_inst|pix_data[13]~10_combout ),
- .datac(\vga_pic_inst|pix_data~34_combout ),
- .datad(\vga_pic_inst|pix_data~19_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~20_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h3F0F;
-defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N19
-dffeas \vga_pic_inst|pix_data[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~20_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add6~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [4] & \vga_pic_inst|pix_data [0])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~3_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datac(\vga_pic_inst|pix_data [4]),
- .datad(\vga_pic_inst|pix_data [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .lut_mask = 16'h8000;
-defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .lut_mask = 16'h00FF;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N21
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N27
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & ((!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0]))))
-
- .dataa(\vga_ctrl_inst|cnt_v [0]),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|cnt_v [2]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0013;
-defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~1_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|cnt_v [4] & ((!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|pix_data_req~0_combout )))) #
-// (!\vga_ctrl_inst|LessThan6~0_combout & (((!\vga_ctrl_inst|always1~0_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datab(\vga_ctrl_inst|always1~0_combout ),
- .datac(\vga_ctrl_inst|LessThan6~0_combout ),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h3353;
-defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N28
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~0 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[1]~0_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [4] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_pic_inst|pix_data [4]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[1]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[1]~0 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[1]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N29
-dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[1]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N30
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[2]~1 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[2]~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \vga_pic_inst|pix_data [0])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datad(\vga_pic_inst|pix_data [0]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[2]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[2]~1 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[2]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N31
-dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[2]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]) # (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .lut_mask = 16'hFFFC;
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst0|Add14~0_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .lut_mask = 16'h00FF;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N9
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1] & \hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add19~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add19~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add19~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~6_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (\hdmi_ctrl_inst|encode_inst0|Add19~5 )
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add19~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .lut_mask = 16'h3C3C;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC)))
-// \hdmi_ctrl_inst|encode_inst0|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1]) # (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add22~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .lut_mask = 16'h99EE;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
-// \hdmi_ctrl_inst|encode_inst0|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add22~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add22~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .lut_mask = 16'hA505;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~6_combout = \hdmi_ctrl_inst|encode_inst0|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst0|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add22~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add19~6_combout )) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .lut_mask = 16'hFBEA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N17
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .lut_mask = 16'hAF44;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~10 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst0|Add16~9_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ))))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .lut_mask = 16'h5FC0;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & ((!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .lut_mask = 16'h0CFC;
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N29
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .lut_mask = 16'hC030;
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N19
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .lut_mask = 16'hAF44;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~14 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~13_combout &
-// (\hdmi_ctrl_inst|encode_inst0|Add19~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .lut_mask = 16'h5F88;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y22_N1
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] &
-// (\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .lut_mask = 16'hA088;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) #
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .lut_mask = 16'h8421;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .lut_mask = 16'h0F00;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ) # (\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .lut_mask = 16'h00EE;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~4_combout &
-// (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add4~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .lut_mask = 16'hA000;
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N17
-dffeas \hdmi_ctrl_inst|encode_inst2|de_reg1 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|de_reg1~q
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N1
-dffeas \hdmi_ctrl_inst|encode_inst2|de_reg2 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N13
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_2~combout = (\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))) #
-// (!\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .lut_mask = 16'h3B0A;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .lut_mask = 16'hBFAA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst0|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .lut_mask = 16'hA55A;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N15
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) #
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .lut_mask = 16'h7150;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst0|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [4] &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .lut_mask = 16'hF2C2;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~1_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .lut_mask = 16'h87D2;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~1_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # ((\vga_ctrl_inst|cnt_h [10]) # ((\vga_ctrl_inst|cnt_h [9]) # (\vga_ctrl_inst|cnt_h [11])))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(\vga_ctrl_inst|cnt_h [11]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hFFFE;
-defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~1 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan0~1_combout = (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|LessThan0~0_combout & ((!\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|cnt_h [6]))))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(\vga_ctrl_inst|cnt_h [5]),
- .datad(\vga_ctrl_inst|LessThan0~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan0~1 .lut_mask = 16'h0015;
-defparam \vga_ctrl_inst|LessThan0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y20_N27
-dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg1 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|LessThan0~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y20_N25
-dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg2 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N9
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .lut_mask = 16'h33CC;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N25
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~4_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .lut_mask = 16'hC35A;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~4_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N29
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan1~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [3] & \vga_ctrl_inst|always1~1_combout )))
-
- .dataa(\vga_ctrl_inst|cnt_v [2]),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|cnt_v [3]),
- .datad(\vga_ctrl_inst|always1~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'h0100;
-defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N15
-dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg1 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|LessThan1~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|c1_reg1~q
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N5
-dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg2 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c0_reg2~q $
-// (!\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .lut_mask = 16'hACA3;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N5
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .lut_mask = 16'hC0C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N1
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N7
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .lut_mask = 16'hAFA0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y22_N25
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .lut_mask = 16'hA3A3;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N17
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [2]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]))
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .lut_mask = 16'hCACA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N21
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .lut_mask = 16'hF3C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N9
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y22_N18
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N16
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~2 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~2_combout = (!\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|pix_data_req~7_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~2 .lut_mask = 16'h1010;
-defparam \vga_pic_inst|LessThan17~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~15 (
-// Equation(s):
-// \vga_pic_inst|pix_data[9]~15_combout = (\vga_pic_inst|pix_data[9]~14_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~2_combout )))
-
- .dataa(\vga_pic_inst|pix_data[9]~14_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|LessThan17~2_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[9]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9]~15 .lut_mask = 16'h0200;
-defparam \vga_pic_inst|pix_data[9]~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~35 (
-// Equation(s):
-// \vga_pic_inst|pix_data~35_combout = (\vga_pic_inst|LessThan10~0_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )))
-
- .dataa(\vga_pic_inst|LessThan10~0_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[13]~11_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~35_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~35 .lut_mask = 16'hFFEF;
-defparam \vga_pic_inst|pix_data~35 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data~36 (
-// Equation(s):
-// \vga_pic_inst|pix_data~36_combout = (\vga_pic_inst|always0~2_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_pic_inst|pix_data[9]~15_combout )))
-
- .dataa(\vga_pic_inst|always0~2_combout ),
- .datab(\vga_ctrl_inst|Add2~20_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[9]~15_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~36_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~36 .lut_mask = 16'h0020;
-defparam \vga_pic_inst|pix_data~36 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N6
-cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
-// Equation(s):
-// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|pix_data~12_combout & (!\vga_ctrl_inst|Add2~12_combout & ((\vga_pic_inst|LessThan14~0_combout ) # (\vga_pic_inst|always0~0_combout ))))
-
- .dataa(\vga_pic_inst|LessThan14~0_combout ),
- .datab(\vga_pic_inst|pix_data~12_combout ),
- .datac(\vga_ctrl_inst|Add2~12_combout ),
- .datad(\vga_pic_inst|always0~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~21_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'h0C08;
-defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N22
-cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
-// Equation(s):
-// \vga_pic_inst|pix_data~26_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~25_combout ),
- .datab(\vga_pic_inst|pix_data~35_combout ),
- .datac(\vga_pic_inst|pix_data~36_combout ),
- .datad(\vga_pic_inst|pix_data~21_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~26_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hE0A0;
-defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|pix_x[11]~0 (
-// Equation(s):
-// \vga_ctrl_inst|pix_x[11]~0_combout = (\vga_ctrl_inst|Add2~20_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~20_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_x[11]~0 .lut_mask = 16'hFF0F;
-defparam \vga_ctrl_inst|pix_x[11]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N8
-cycloneive_lcell_comb \vga_pic_inst|pix_data~27 (
-// Equation(s):
-// \vga_pic_inst|pix_data~27_combout = (\vga_pic_inst|pix_data~26_combout ) # ((!\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
-
- .dataa(\vga_pic_inst|always0~2_combout ),
- .datab(\vga_pic_inst|pix_data[9]~15_combout ),
- .datac(\vga_pic_inst|pix_data~26_combout ),
- .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~27_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~27 .lut_mask = 16'hF0F1;
-defparam \vga_pic_inst|pix_data~27 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y21_N9
-dffeas \vga_pic_inst|pix_data[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~27_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N14
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~2 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[10]~2_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data [10] & \vga_ctrl_inst|pix_data_req~1_combout )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datac(\vga_pic_inst|pix_data [10]),
- .datad(\vga_ctrl_inst|pix_data_req~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[10]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[10]~2 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[10]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N15
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[10]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~29 (
-// Equation(s):
-// \vga_pic_inst|pix_data~29_combout = (\vga_ctrl_inst|pix_data_req~7_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & !\vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|Add2~14_combout &
-// ((\vga_ctrl_inst|Add2~12_combout )))))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~29_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~29 .lut_mask = 16'h5020;
-defparam \vga_pic_inst|pix_data~29 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~30 (
-// Equation(s):
-// \vga_pic_inst|pix_data~30_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~20_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~18_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_ctrl_inst|Add2~20_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~18_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~30_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~30 .lut_mask = 16'h0002;
-defparam \vga_pic_inst|pix_data~30 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~31 (
-// Equation(s):
-// \vga_pic_inst|pix_data~31_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((\vga_pic_inst|pix_data~29_combout & \vga_pic_inst|pix_data~30_combout ))
-
- .dataa(gnd),
- .datab(\vga_pic_inst|pix_data~29_combout ),
- .datac(\vga_pic_inst|pix_data~30_combout ),
- .datad(\vga_pic_inst|LessThan17~3_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~31_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~31 .lut_mask = 16'hFFC0;
-defparam \vga_pic_inst|pix_data~31 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y21_N5
-dffeas \vga_pic_inst|pix_data[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~31_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N4
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[6]~4 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[6]~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [8])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_pic_inst|pix_data [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[6]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[6]~4 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[6]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N5
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[6]~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add5~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add5~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~1_combout & (\vga_pic_inst|pix_data [8] & ((\vga_pic_inst|pix_data [9]) # (\vga_pic_inst|pix_data [10]))))
-
- .dataa(\vga_pic_inst|pix_data [9]),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
- .datac(\vga_pic_inst|pix_data [10]),
- .datad(\vga_pic_inst|pix_data [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .lut_mask = 16'hC800;
-defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N27
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) #
-// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .lut_mask = 16'h6006;
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N25
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~28 (
-// Equation(s):
-// \vga_pic_inst|pix_data~28_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~25_combout ),
- .datab(\vga_pic_inst|pix_data~35_combout ),
- .datac(\vga_pic_inst|pix_data~36_combout ),
- .datad(\vga_pic_inst|pix_data~21_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~28_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~28 .lut_mask = 16'hE0A0;
-defparam \vga_pic_inst|pix_data~28 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y21_N3
-dffeas \vga_pic_inst|pix_data[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~28_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N8
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[7]~3_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [9])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_pic_inst|pix_data [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N9
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[7]~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add13~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
-// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
-// (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .lut_mask = 16'hF690;
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N13
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add13~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & \hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] &
-// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .lut_mask = 16'hF330;
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N29
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .lut_mask = 16'h08AE;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .lut_mask = 16'h7510;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add14~2_combout = (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X33_Y21_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst1|Add15~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (((!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .lut_mask = 16'h37FE;
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N17
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// (\hdmi_ctrl_inst|encode_inst1|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add23~1 ))))
-// \hdmi_ctrl_inst|encode_inst1|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
-// ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add23~1 ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add23~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add23~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .lut_mask = 16'h694D;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~2_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .lut_mask = 16'hAAE4;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~10 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~2_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N23
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add15~0_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .lut_mask = 16'hA0C0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout &
-// (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout &
-// ((\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout &
-// ((!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|cnt [4])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .datac(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst1|cnt [3])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .lut_mask = 16'h00EE;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~14_combout &
-// (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout &
-// (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout &
-// ((\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout &
-// ((!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N15
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~4_combout )) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add23~4_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .lut_mask = 16'hEE50;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~3_combout
-// & (\hdmi_ctrl_inst|encode_inst1|Add17~6_combout & ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~6_combout &
-// (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N17
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) #
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .lut_mask = 16'h8241;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_2~combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
-// ((\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|cnt [3] & \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datac(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .lut_mask = 16'h7350;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .lut_mask = 16'h22EE;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ) # (\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add22~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~12 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~11_combout &
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .lut_mask = 16'h5F22;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N13
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~6_combout = \hdmi_ctrl_inst|encode_inst1|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add22~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~6_combout )) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .lut_mask = 16'hFFAC;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst1|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .lut_mask = 16'hA55A;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N19
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst1|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [4] &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [4] & ((\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .lut_mask = 16'hEE50;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N19
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~1_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .lut_mask = 16'hA53C;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~1_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N1
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~3_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .lut_mask = 16'h9A56;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~3_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N29
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [5]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]))
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .lut_mask = 16'hCACA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N21
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .lut_mask = 16'hAF05;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N29
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .lut_mask = 16'hCC00;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N27
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N23
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N19
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .lut_mask = 16'hBB88;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y23_N11
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N14
-cycloneive_lcell_comb \vga_pic_inst|pix_data~33 (
-// Equation(s):
-// \vga_pic_inst|pix_data~33_combout = (\vga_pic_inst|pix_data~37_combout & ((\vga_pic_inst|pix_data[13]~10_combout ) # (\vga_pic_inst|pix_data~19_combout )))
-
- .dataa(\vga_pic_inst|pix_data~37_combout ),
- .datab(\vga_pic_inst|pix_data[13]~10_combout ),
- .datac(gnd),
- .datad(\vga_pic_inst|pix_data~19_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~33_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~33 .lut_mask = 16'hAA88;
-defparam \vga_pic_inst|pix_data~33 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N15
-dffeas \vga_pic_inst|pix_data[13] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~33_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [13]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[13] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N22
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[13]~6 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[13]~6_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [13] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_pic_inst|pix_data [13]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[13]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[13]~6 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[13]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N23
-dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[13]~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~32 (
-// Equation(s):
-// \vga_pic_inst|pix_data~32_combout = (\vga_pic_inst|pix_data~37_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & ((\vga_pic_inst|pix_data[13]~9_combout ) # (!\vga_pic_inst|pix_data~13_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~37_combout ),
- .datab(\vga_pic_inst|pix_data~13_combout ),
- .datac(\vga_pic_inst|pix_data[13]~9_combout ),
- .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~32_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~32 .lut_mask = 16'h00A2;
-defparam \vga_pic_inst|pix_data~32 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N5
-dffeas \vga_pic_inst|pix_data[15] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~32_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [15]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[15] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add6~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [13] & \vga_pic_inst|pix_data [15])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~3_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datac(\vga_pic_inst|pix_data [13]),
- .datad(\vga_pic_inst|pix_data [15]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .lut_mask = 16'h8000;
-defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N27
-dffeas \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N20
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~5 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[12]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [15] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_pic_inst|pix_data [15]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[12]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[12]~5 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[12]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N21
-dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[12]~5_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (!\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .lut_mask = 16'h3F0C;
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N5
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) # ((\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]) # (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .lut_mask = 16'hFFFC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N1
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add12~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .lut_mask = 16'h00F0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N23
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .lut_mask = 16'h20F2;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N7
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) #
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .lut_mask = 16'h9009;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & \hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add19~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .lut_mask = 16'h0C0A;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .lut_mask = 16'hF7F0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|cnt [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .lut_mask = 16'h0F00;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .lut_mask = 16'h00EE;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout &
-// (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout &
-// ((\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout &
-// ((!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N3
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst2|cnt [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst2|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] &
-// ((\hdmi_ctrl_inst|encode_inst2|Add15~0_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .lut_mask = 16'hAC00;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~12_combout &
-// (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
-// (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
-// ((\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout &
-// ((!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~4_combout &
-// (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N9
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst2|Add14~0_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .lut_mask = 16'h00FF;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N3
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_2~combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .lut_mask = 16'h0ACE;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~14 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~13_combout & (((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~13_combout
-// & (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .lut_mask = 16'h6E2A;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N5
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// (\hdmi_ctrl_inst|encode_inst2|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add23~1 ))))
-// \hdmi_ctrl_inst|encode_inst2|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
-// ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add23~1 ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add23~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add23~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .lut_mask = 16'h694D;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// ((\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add20~1 ))))
-// \hdmi_ctrl_inst|encode_inst2|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add20~1 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add20~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add20~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .lut_mask = 16'h692B;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .lut_mask = 16'hFA44;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~7_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~7_combout
-// & (\hdmi_ctrl_inst|encode_inst2|Add17~4_combout & ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N7
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N9
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~8_combout = \hdmi_ctrl_inst|encode_inst2|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add23~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add23~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ) # ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add23~6_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .lut_mask = 16'hAAD8;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add20~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add20~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~0_combout
-// & (((\hdmi_ctrl_inst|encode_inst2|Add20~6_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .lut_mask = 16'hB8CC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst2|Add16~2_combout $ (\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .lut_mask = 16'hA55A;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N11
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [4] & (((\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ) # (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [4] &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N21
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~1_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .lut_mask = 16'hB41E;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~1_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N1
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N29
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~4_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .lut_mask = 16'h939C;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~4_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N17
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
-// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .lut_mask = 16'hEB41;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N19
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .lut_mask = 16'hC0C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .lut_mask = 16'hCCF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N9
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .lut_mask = 16'hCCF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N5
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N21
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N17
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout = !\hdmi_ctrl_inst|encode_inst2|c0_reg2~q
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N21
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|data_out [6]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]))
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .lut_mask = 16'hCCAA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N7
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .lut_mask = 16'hBB88;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .lut_mask = 16'hBB88;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N1
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y24_N4
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y22_N25
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y23_N18
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y24_N11
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-endmodule
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus II 32-bit"
+// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
+
+// DATE "04/29/2025 22:08:27"
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This Verilog file should be used for ModelSim (Verilog) only
+//
+
+`timescale 1 ps/ 1 ps
+
+module hdmi_colorbar (
+ sys_clk,
+ sys_rst_n,
+ ddc_scl,
+ ddc_sda,
+ tmds_clk_p,
+ tmds_clk_n,
+ tmds_data_p,
+ tmds_data_n);
+input sys_clk;
+input sys_rst_n;
+output ddc_scl;
+output ddc_sda;
+output tmds_clk_p;
+output tmds_clk_n;
+output [2:0] tmds_data_p;
+output [2:0] tmds_data_n;
+
+// Design Ports Information
+// ddc_scl => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default
+// ddc_sda => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_clk_p => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_clk_n => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: 8mA
+// tmds_data_p[0] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_p[1] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_p[2] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_n[0] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_n[1] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_n[2] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default
+// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
+// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
+
+
+wire gnd;
+wire vcc;
+wire unknown;
+
+assign gnd = 1'b0;
+assign vcc = 1'b1;
+assign unknown = 1'bx;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+// synopsys translate_off
+initial $sdf_annotate("hdmi_colorbar_8_1200mv_0c_v_slow.sdo");
+// synopsys translate_on
+
+wire \hdmi_ctrl_inst|encode_inst0|Add20~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~7 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~7 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~7 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~7 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~4_combout ;
+wire \vga_ctrl_inst|Add0~6_combout ;
+wire \vga_ctrl_inst|Add1~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~10_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~12_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~10_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~12_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~10_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~12_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ;
+wire \vga_ctrl_inst|LessThan0~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~5_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~5_combout ;
+wire \vga_ctrl_inst|always1~2_combout ;
+wire \vga_ctrl_inst|cnt_v[2]~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ;
+wire \vga_pic_inst|pix_data~22_combout ;
+wire \vga_pic_inst|LessThan14~1_combout ;
+wire \vga_pic_inst|pix_data[13]~24_combout ;
+wire \vga_pic_inst|pix_data~29_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~5_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~7_combout ;
+wire \vga_pic_inst|LessThan17~4_combout ;
+wire \vga_pic_inst|pix_data~35_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ;
+wire \sys_clk~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ;
+wire \vga_ctrl_inst|Add1~1 ;
+wire \vga_ctrl_inst|Add1~3 ;
+wire \vga_ctrl_inst|Add1~5 ;
+wire \vga_ctrl_inst|Add1~6_combout ;
+wire \vga_ctrl_inst|cnt_v[3]~3_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
+wire \sys_rst_n~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
+wire \rst_n~0_combout ;
+wire \rst_n~0clkctrl_outclk ;
+wire \vga_ctrl_inst|Add1~7 ;
+wire \vga_ctrl_inst|Add1~8_combout ;
+wire \vga_ctrl_inst|Add0~0_combout ;
+wire \vga_ctrl_inst|Add0~1 ;
+wire \vga_ctrl_inst|Add0~2_combout ;
+wire \vga_ctrl_inst|Add0~3 ;
+wire \vga_ctrl_inst|Add0~4_combout ;
+wire \vga_ctrl_inst|Add0~5 ;
+wire \vga_ctrl_inst|Add0~7 ;
+wire \vga_ctrl_inst|Add0~8_combout ;
+wire \vga_ctrl_inst|Add0~9 ;
+wire \vga_ctrl_inst|Add0~11 ;
+wire \vga_ctrl_inst|Add0~12_combout ;
+wire \vga_ctrl_inst|Add0~13 ;
+wire \vga_ctrl_inst|Add0~14_combout ;
+wire \vga_ctrl_inst|Add0~15 ;
+wire \vga_ctrl_inst|Add0~16_combout ;
+wire \vga_ctrl_inst|Equal0~0_combout ;
+wire \vga_ctrl_inst|cnt_h~2_combout ;
+wire \vga_ctrl_inst|Equal0~2_combout ;
+wire \vga_ctrl_inst|Add0~17 ;
+wire \vga_ctrl_inst|Add0~18_combout ;
+wire \vga_ctrl_inst|cnt_h~1_combout ;
+wire \vga_ctrl_inst|Add0~19 ;
+wire \vga_ctrl_inst|Add0~20_combout ;
+wire \vga_ctrl_inst|Add0~21 ;
+wire \vga_ctrl_inst|Add0~22_combout ;
+wire \vga_ctrl_inst|Equal0~1_combout ;
+wire \vga_ctrl_inst|Equal0~3_combout ;
+wire \vga_ctrl_inst|cnt_v[4]~5_combout ;
+wire \vga_ctrl_inst|Add1~9 ;
+wire \vga_ctrl_inst|Add1~10_combout ;
+wire \vga_ctrl_inst|cnt_v[5]~10_combout ;
+wire \vga_ctrl_inst|Add1~11 ;
+wire \vga_ctrl_inst|Add1~12_combout ;
+wire \vga_ctrl_inst|cnt_v[6]~8_combout ;
+wire \vga_ctrl_inst|Add1~13 ;
+wire \vga_ctrl_inst|Add1~14_combout ;
+wire \vga_ctrl_inst|cnt_v[7]~7_combout ;
+wire \vga_ctrl_inst|Add1~15 ;
+wire \vga_ctrl_inst|Add1~16_combout ;
+wire \vga_ctrl_inst|cnt_v[8]~6_combout ;
+wire \vga_ctrl_inst|Add1~17 ;
+wire \vga_ctrl_inst|Add1~18_combout ;
+wire \vga_ctrl_inst|cnt_v[9]~9_combout ;
+wire \vga_ctrl_inst|Add1~19 ;
+wire \vga_ctrl_inst|Add1~20_combout ;
+wire \vga_ctrl_inst|cnt_v[10]~12_combout ;
+wire \vga_ctrl_inst|Add1~21 ;
+wire \vga_ctrl_inst|Add1~22_combout ;
+wire \vga_ctrl_inst|cnt_v[11]~11_combout ;
+wire \vga_ctrl_inst|pix_data_req~8_combout ;
+wire \vga_ctrl_inst|always1~0_combout ;
+wire \vga_ctrl_inst|always1~1_combout ;
+wire \vga_ctrl_inst|cnt_v[11]~0_combout ;
+wire \vga_ctrl_inst|Add1~2_combout ;
+wire \vga_ctrl_inst|cnt_v[1]~1_combout ;
+wire \vga_ctrl_inst|Add1~0_combout ;
+wire \vga_ctrl_inst|cnt_v[0]~2_combout ;
+wire \vga_ctrl_inst|LessThan6~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~1_combout ;
+wire \vga_ctrl_inst|pix_data_req~2_combout ;
+wire \vga_ctrl_inst|pix_data_req~3_combout ;
+wire \vga_ctrl_inst|Add0~10_combout ;
+wire \vga_ctrl_inst|cnt_h~0_combout ;
+wire \vga_ctrl_inst|Add2~1_cout ;
+wire \vga_ctrl_inst|Add2~3_cout ;
+wire \vga_ctrl_inst|Add2~5_cout ;
+wire \vga_ctrl_inst|Add2~7_cout ;
+wire \vga_ctrl_inst|Add2~9_cout ;
+wire \vga_ctrl_inst|Add2~11 ;
+wire \vga_ctrl_inst|Add2~13 ;
+wire \vga_ctrl_inst|Add2~14_combout ;
+wire \vga_ctrl_inst|Add2~12_combout ;
+wire \vga_pic_inst|always0~1_combout ;
+wire \vga_ctrl_inst|Add2~10_combout ;
+wire \vga_pic_inst|LessThan17~2_combout ;
+wire \vga_ctrl_inst|Add2~15 ;
+wire \vga_ctrl_inst|Add2~16_combout ;
+wire \vga_pic_inst|always0~2_combout ;
+wire \vga_pic_inst|pix_data[13]~8_combout ;
+wire \vga_ctrl_inst|Add2~17 ;
+wire \vga_ctrl_inst|Add2~18_combout ;
+wire \vga_pic_inst|pix_data[13]~9_combout ;
+wire \vga_ctrl_inst|Add2~19 ;
+wire \vga_ctrl_inst|Add2~20_combout ;
+wire \vga_ctrl_inst|pix_x[11]~0_combout ;
+wire \vga_pic_inst|pix_data~16_combout ;
+wire \vga_pic_inst|pix_data~17_combout ;
+wire \vga_pic_inst|pix_data~34_combout ;
+wire \vga_ctrl_inst|pix_data_req~5_combout ;
+wire \vga_ctrl_inst|pix_data_req~6_combout ;
+wire \vga_ctrl_inst|pix_data_req~7_combout ;
+wire \vga_pic_inst|pix_data~12_combout ;
+wire \vga_pic_inst|pix_data[13]~11_combout ;
+wire \vga_pic_inst|always0~0_combout ;
+wire \vga_pic_inst|LessThan14~0_combout ;
+wire \vga_pic_inst|pix_data~13_combout ;
+wire \vga_pic_inst|pix_data~18_combout ;
+wire \vga_pic_inst|pix_data~19_combout ;
+wire \vga_pic_inst|pix_data~20_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add6~0_combout ;
+wire \vga_ctrl_inst|LessThan4~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~4_combout ;
+wire \vga_ctrl_inst|rgb[2]~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add12~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add12~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add14~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add14~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~14_combout ;
+wire \vga_ctrl_inst|rgb[1]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add4~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|de_reg1~q ;
+wire \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|de_reg2~q ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~16_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_2~combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ;
+wire \vga_ctrl_inst|LessThan0~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c0_reg1~q ;
+wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~q ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ;
+wire \vga_pic_inst|pix_data~30_combout ;
+wire \vga_pic_inst|LessThan17~3_combout ;
+wire \vga_pic_inst|pix_data~31_combout ;
+wire \vga_ctrl_inst|rgb[6]~4_combout ;
+wire \vga_ctrl_inst|pix_x[10]~1_combout ;
+wire \vga_pic_inst|pix_data~23_combout ;
+wire \vga_pic_inst|LessThan10~0_combout ;
+wire \vga_pic_inst|pix_data~25_combout ;
+wire \vga_pic_inst|pix_data[9]~14_combout ;
+wire \vga_pic_inst|pix_data[9]~15_combout ;
+wire \vga_pic_inst|pix_data~36_combout ;
+wire \vga_pic_inst|pix_data~21_combout ;
+wire \vga_pic_inst|pix_data~28_combout ;
+wire \vga_ctrl_inst|rgb[7]~3_combout ;
+wire \vga_pic_inst|pix_data~26_combout ;
+wire \vga_pic_inst|pix_data~27_combout ;
+wire \vga_ctrl_inst|rgb[10]~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add13~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add14~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add14~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add14~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add5~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~16_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add13~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~14_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_2~combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ;
+wire \vga_ctrl_inst|LessThan1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c1_reg1~q ;
+wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~q ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~7_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ;
+wire \vga_pic_inst|pix_data~37_combout ;
+wire \vga_pic_inst|pix_data[13]~10_combout ;
+wire \vga_pic_inst|pix_data~33_combout ;
+wire \vga_pic_inst|pix_data~32_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add6~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ;
+wire \vga_ctrl_inst|rgb[13]~6_combout ;
+wire \vga_ctrl_inst|rgb[12]~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add14~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add12~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add12~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_2~combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add14~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~16_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~14_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~7 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~7 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~8_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ;
+wire [8:0] \hdmi_ctrl_inst|encode_inst1|q_m_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n0 ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n1 ;
+wire [7:0] \hdmi_ctrl_inst|encode_inst2|data_in_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst2|data_in_n1 ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [11:0] \vga_ctrl_inst|cnt_h ;
+wire [15:0] \vga_pic_inst|pix_data ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n1 ;
+wire [4:0] \hdmi_ctrl_inst|encode_inst0|cnt ;
+wire [2:0] \hdmi_ctrl_inst|par_to_ser_inst0|cnt ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s ;
+wire [4:0] \hdmi_ctrl_inst|encode_inst1|cnt ;
+wire [9:0] \hdmi_ctrl_inst|encode_inst1|data_out ;
+wire [7:0] \hdmi_ctrl_inst|encode_inst1|data_in_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst1|data_in_n1 ;
+wire [4:0] \hdmi_ctrl_inst|encode_inst2|cnt ;
+wire [9:0] \hdmi_ctrl_inst|encode_inst2|data_out ;
+wire [8:0] \hdmi_ctrl_inst|encode_inst2|q_m_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n0 ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n1 ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s ;
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
+wire [11:0] \vga_ctrl_inst|cnt_v ;
+wire [8:0] \hdmi_ctrl_inst|encode_inst0|q_m_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n0 ;
+wire [9:0] \hdmi_ctrl_inst|encode_inst0|data_out ;
+wire [7:0] \hdmi_ctrl_inst|encode_inst0|data_in_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst0|data_in_n1 ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
+
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
+
+// Location: PLL_2
+cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
+ .areset(!\sys_rst_n~input_o ),
+ .pfdena(vcc),
+ .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .phaseupdown(gnd),
+ .phasestep(gnd),
+ .scandata(gnd),
+ .scanclk(gnd),
+ .scanclkena(vcc),
+ .configupdate(gnd),
+ .clkswitch(gnd),
+ .inclk({gnd,\sys_clk~input_o }),
+ .phasecounterselect(3'b000),
+ .phasedone(),
+ .scandataout(),
+ .scandone(),
+ .activeclock(),
+ .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .vcooverrange(),
+ .vcounderrange(),
+ .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
+ .clkbad());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 13;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "odd";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 3;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 2;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "odd";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 25;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 5;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 5989;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 208;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N11
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N13
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N19
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add20~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .lut_mask = 16'h66BB;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// ((\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add20~1 ))))
+// \hdmi_ctrl_inst|encode_inst0|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add20~1 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add20~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add20~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .lut_mask = 16'h692B;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add20~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add20~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add20~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .lut_mask = 16'h3CCF;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add20~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add20~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add17~1
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] &
+// ((!\hdmi_ctrl_inst|encode_inst0|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1
+// [2] & !\hdmi_ctrl_inst|encode_inst0|Add17~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .lut_mask = 16'h3C3F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~7 )
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .lut_mask = 16'hC3C3;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add23~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .lut_mask = 16'h66DD;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// (\hdmi_ctrl_inst|encode_inst0|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
+// \hdmi_ctrl_inst|encode_inst0|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
+// ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add23~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add23~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .lut_mask = 16'h694D;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add23~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst0|Add23~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add23~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add23~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .lut_mask = 16'h3C03;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add23~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add23~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add15~1
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] &
+// ((!\hdmi_ctrl_inst|encode_inst0|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0
+// [2] & !\hdmi_ctrl_inst|encode_inst0|Add15~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add15~5
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] &
+// ((!\hdmi_ctrl_inst|encode_inst0|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~7 )
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .lut_mask = 16'hC3C3;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add19~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add19~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .lut_mask = 16'h5A5F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add19~3 & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add19~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add19~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add19~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .lut_mask = 16'hA50A;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
+// \hdmi_ctrl_inst|encode_inst0|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add22~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add22~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .lut_mask = 16'hA505;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add22~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add22~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add22~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .lut_mask = 16'h5AAF;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout &
+// (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout &
+// ((!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~4_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N13
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N3
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add20~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .lut_mask = 16'h66BB;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// (\hdmi_ctrl_inst|encode_inst1|Add20~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add20~1 ))))
+// \hdmi_ctrl_inst|encode_inst1|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
+// ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~1 ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add20~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add20~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .lut_mask = 16'h694D;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add20~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add20~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add20~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .lut_mask = 16'h3CCF;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add20~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add20~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst1|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
+// ((\hdmi_ctrl_inst|encode_inst1|Add17~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add17~1 )
+// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt
+// [2] & !\hdmi_ctrl_inst|encode_inst1|Add17~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .lut_mask = 16'h3C3F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~8_combout = \hdmi_ctrl_inst|encode_inst1|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add23~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .lut_mask = 16'h66DD;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// ((\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|Add23~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add23~1 ))))
+// \hdmi_ctrl_inst|encode_inst1|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((!\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst1|Add23~1 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add23~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add23~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .lut_mask = 16'h692B;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add23~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add23~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add23~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add23~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .lut_mask = 16'h3C03;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add23~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add23~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst1|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] &
+// ((\hdmi_ctrl_inst|encode_inst1|Add15~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add15~1 )
+// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt
+// [2] & !\hdmi_ctrl_inst|encode_inst1|Add15~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add15~5
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
+// ((!\hdmi_ctrl_inst|encode_inst1|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~8_combout = \hdmi_ctrl_inst|encode_inst1|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst1|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add19~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC)))
+// \hdmi_ctrl_inst|encode_inst1|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst1|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add22~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .lut_mask = 16'h99EE;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
+// \hdmi_ctrl_inst|encode_inst1|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add22~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add22~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .lut_mask = 16'hA505;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add20~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .lut_mask = 16'h66DD;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [0] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add17~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst2|Add17~1 )
+// # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add17~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1
+// [2] & !\hdmi_ctrl_inst|encode_inst2|Add17~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add23~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .lut_mask = 16'h66BB;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst2|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add15~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst2|Add15~1 )
+// # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add19~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add19~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .lut_mask = 16'h5A5F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add19~3 & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add19~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add19~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add19~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .lut_mask = 16'hC30C;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
+// \hdmi_ctrl_inst|encode_inst2|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|cnt [2] & !\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add22~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add22~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .lut_mask = 16'hA505;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add22~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add22~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add22~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .lut_mask = 16'h3CCF;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X30_Y21_N27
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N23
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
+// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~5 ),
+ .combout(\vga_ctrl_inst|Add0~6_combout ),
+ .cout(\vga_ctrl_inst|Add0~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
+// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~3 ),
+ .combout(\vga_ctrl_inst|Add1~4_combout ),
+ .cout(\vga_ctrl_inst|Add1~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N23
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .lut_mask = 16'h0C0C;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .lut_mask = 16'h2F02;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N5
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N23
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [2]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .lut_mask = 16'hF0AA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))) #
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .lut_mask = 16'h8421;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N13
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N23
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .lut_mask = 16'h7130;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N19
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~1_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .lut_mask = 16'h87D2;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .lut_mask = 16'h0303;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .lut_mask = 16'h3030;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .lut_mask = 16'hF0CA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~0_combout
+// & (\hdmi_ctrl_inst|encode_inst0|Add20~6_combout & ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .lut_mask = 16'hE4AA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~4_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .lut_mask = 16'hE3E0;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~3_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~3_combout
+// & (((\hdmi_ctrl_inst|encode_inst0|Add20~4_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .lut_mask = 16'hACF0;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .lut_mask = 16'h5044;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .lut_mask = 16'hAF44;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~10 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~9_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add19~2_combout & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .lut_mask = 16'h4AEA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ) # ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add23~0_combout & !\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .lut_mask = 16'hF0AC;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~12 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst0|Add20~0_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .lut_mask = 16'hD8AA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] &
+// (\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .lut_mask = 16'hA088;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst0|cnt [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .lut_mask = 16'h00CC;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N7
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .lut_mask = 16'hCC0F;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N19
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .lut_mask = 16'hFA44;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~0_combout &
+// (\hdmi_ctrl_inst|encode_inst1|Add20~6_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .lut_mask = 16'hF858;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .lut_mask = 16'hFC22;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~3_combout
+// & (\hdmi_ctrl_inst|encode_inst1|Add17~6_combout & (\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .lut_mask = 16'hEC2C;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .lut_mask = 16'hF50C;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .lut_mask = 16'hFA44;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~10 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~2_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .lut_mask = 16'hCAF0;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~0_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .lut_mask = 16'hE5E0;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~12 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst1|Add16~11_combout &
+// ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .lut_mask = 16'h770A;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] &
+// (\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .lut_mask = 16'hE040;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .lut_mask = 16'h0F00;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N25
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~2_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [2] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N19
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .lut_mask = 16'hD8D8;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .lut_mask = 16'h0E04;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .lut_mask = 16'hDFCC;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add22~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .lut_mask = 16'hBB50;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~10 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~9_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add19~2_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .lut_mask = 16'h58F8;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|condition_2~combout )) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~2_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~0_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .lut_mask = 16'hD9C8;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~12 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (\hdmi_ctrl_inst|encode_inst2|Add15~2_combout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~11_combout
+// & ((\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ))))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .lut_mask = 16'hDAD0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst2|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add15~0_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .lut_mask = 16'h8C80;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .lut_mask = 16'h0F00;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~2_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .lut_mask = 16'h959A;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .lut_mask = 16'hCF03;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N5
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) # (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .lut_mask = 16'hFFF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N31
+dffeas \vga_ctrl_inst|cnt_v[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[2]~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [10]) # ((\vga_ctrl_inst|cnt_h [11]) # ((\vga_ctrl_inst|cnt_h [7]) # (\vga_ctrl_inst|cnt_h [9])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [10]),
+ .datab(\vga_ctrl_inst|cnt_h [11]),
+ .datac(\vga_ctrl_inst|cnt_h [7]),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hFFFE;
+defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~4_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N3
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~5_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .lut_mask = 16'hA35C;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N15
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N17
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~3_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .lut_mask = 16'h993C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N29
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [7])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~4_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N1
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .lut_mask = 16'hD8D8;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .lut_mask = 16'h5A5A;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~4_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .lut_mask = 16'h959A;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N31
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~5_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .lut_mask = 16'hC53A;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N7
+dffeas \vga_ctrl_inst|cnt_h[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N10
+cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
+// Equation(s):
+// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|cnt_v [3] & (\vga_ctrl_inst|cnt_v [9] & !\vga_ctrl_inst|cnt_v [0])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|cnt_v [0]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0080;
+defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N30
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~4 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[2]~4_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~4_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [2]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~4_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|Add1~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[2]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2]~4 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[2]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .lut_mask = 16'h55AA;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N23
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .lut_mask = 16'hAA00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .lut_mask = 16'h5A5A;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .lut_mask = 16'h7744;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N29
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .lut_mask = 16'hCC00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N4
+cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
+// Equation(s):
+// \vga_pic_inst|pix_data~22_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h00AA;
+defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|LessThan14~1 (
+// Equation(s):
+// \vga_pic_inst|LessThan14~1_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan14~1 .lut_mask = 16'hF000;
+defparam \vga_pic_inst|LessThan14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~24 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~24_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_pic_inst|LessThan14~1_combout & (!\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|LessThan14~1_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~24_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~24 .lut_mask = 16'h0002;
+defparam \vga_pic_inst|pix_data[13]~24 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data~29 (
+// Equation(s):
+// \vga_pic_inst|pix_data~29_combout = (\vga_ctrl_inst|pix_data_req~7_combout & ((\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout )) # (!\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~10_combout
+// ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~29_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~29 .lut_mask = 16'h2060;
+defparam \vga_pic_inst|pix_data~29 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout = \hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .lut_mask = 16'hA55A;
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N31
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~5_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [7] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .lut_mask = 16'h93C6;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N5
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .lut_mask = 16'hAA00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N31
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .lut_mask = 16'hAA00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .lut_mask = 16'h55AA;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst2|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .lut_mask = 16'h7722;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c0_reg2~q $
+// (!\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .lut_mask = 16'hD88D;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .lut_mask = 16'hCC55;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .lut_mask = 16'hC33C;
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~6_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
+// (((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .lut_mask = 16'hCAC5;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .lut_mask = 16'hAA0F;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N6
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~4 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~4_combout = (!\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~4 .lut_mask = 16'h0010;
+defparam \vga_pic_inst|LessThan17~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|pix_data~35 (
+// Equation(s):
+// \vga_pic_inst|pix_data~35_combout = (\vga_pic_inst|LessThan10~0_combout ) # ((\vga_pic_inst|pix_data[13]~11_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )))
+
+ .dataa(\vga_pic_inst|LessThan10~0_combout ),
+ .datab(\vga_pic_inst|pix_data[13]~11_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~35_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~35 .lut_mask = 16'hFFEF;
+defparam \vga_pic_inst|pix_data~35 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout = !\hdmi_ctrl_inst|encode_inst2|c0_reg2~q
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: CLKCTRL_G8
+cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .lut_mask = 16'hF0F0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~2_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~4_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~3_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~4_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~4_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~5_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N16
+cycloneive_io_obuf \ddc_scl~output (
+ .i(vcc),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(ddc_scl),
+ .obar());
+// synopsys translate_off
+defparam \ddc_scl~output .bus_hold = "false";
+defparam \ddc_scl~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y10_N16
+cycloneive_io_obuf \ddc_sda~output (
+ .i(vcc),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(ddc_sda),
+ .obar());
+// synopsys translate_off
+defparam \ddc_sda~output .bus_hold = "false";
+defparam \ddc_sda~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y21_N23
+cycloneive_io_obuf \tmds_clk_p~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_clk_p),
+ .obar());
+// synopsys translate_off
+defparam \tmds_clk_p~output .bus_hold = "false";
+defparam \tmds_clk_p~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y20_N2
+cycloneive_io_obuf \tmds_clk_n~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_clk_n),
+ .obar());
+// synopsys translate_off
+defparam \tmds_clk_n~output .bus_hold = "false";
+defparam \tmds_clk_n~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y22_N16
+cycloneive_io_obuf \tmds_data_p[0]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_p[0]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_p[0]~output .bus_hold = "false";
+defparam \tmds_data_p[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y23_N9
+cycloneive_io_obuf \tmds_data_p[1]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_p[1]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_p[1]~output .bus_hold = "false";
+defparam \tmds_data_p[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y24_N2
+cycloneive_io_obuf \tmds_data_p[2]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_p[2]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_p[2]~output .bus_hold = "false";
+defparam \tmds_data_p[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y22_N23
+cycloneive_io_obuf \tmds_data_n[0]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_n[0]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_n[0]~output .bus_hold = "false";
+defparam \tmds_data_n[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y23_N16
+cycloneive_io_obuf \tmds_data_n[1]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_n[1]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_n[1]~output .bus_hold = "false";
+defparam \tmds_data_n[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y24_N9
+cycloneive_io_obuf \tmds_data_n[2]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_n[2]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_n[2]~output .bus_hold = "false";
+defparam \tmds_data_n[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [0] $ (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1])
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .lut_mask = 16'h5A5A;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N19
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] $ (((\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [1])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .lut_mask = 16'h5AF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N9
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]) # (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .lut_mask = 16'hFAFA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N29
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .lut_mask = 16'h0C0C;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N25
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .lut_mask = 16'h0F00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N13
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .lut_mask = 16'h0A0A;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N31
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y15_N22
+cycloneive_io_ibuf \sys_clk~input (
+ .i(sys_clk),
+ .ibar(gnd),
+ .o(\sys_clk~input_o ));
+// synopsys translate_off
+defparam \sys_clk~input .bus_hold = "false";
+defparam \sys_clk~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: CLKCTRL_G9
+cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1]}),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock";
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y21_N25
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y20_N4
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
+// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
+
+ .dataa(\vga_ctrl_inst|cnt_v [0]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add1~0_combout ),
+ .cout(\vga_ctrl_inst|Add1~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h55AA;
+defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
+// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~1 ),
+ .combout(\vga_ctrl_inst|Add1~2_combout ),
+ .cout(\vga_ctrl_inst|Add1~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
+// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~5 ),
+ .combout(\vga_ctrl_inst|Add1~6_combout ),
+ .cout(\vga_ctrl_inst|Add1~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~3 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[3]~3_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~6_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [3]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~6_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|Add1~6_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[3]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3]~3 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[3]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X40_Y23_N0
+cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
+// Equation(s):
+// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y4_N1
+cycloneive_io_ibuf \sys_rst_n~input (
+ .i(sys_rst_n),
+ .ibar(gnd),
+ .o(\sys_rst_n~input_o ));
+// synopsys translate_off
+defparam \sys_rst_n~input .bus_hold = "false";
+defparam \sys_rst_n~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: FF_X40_Y23_N1
+dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .asdata(vcc),
+ .clrn(\sys_rst_n~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X40_Y23_N18
+cycloneive_lcell_comb \rst_n~0 (
+// Equation(s):
+// \rst_n~0_combout = ((!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\sys_rst_n~input_o )
+
+ .dataa(\sys_rst_n~input_o ),
+ .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .datac(gnd),
+ .datad(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .cin(gnd),
+ .combout(\rst_n~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \rst_n~0 .lut_mask = 16'h77FF;
+defparam \rst_n~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: CLKCTRL_G7
+cycloneive_clkctrl \rst_n~0clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\rst_n~0clkctrl_outclk ));
+// synopsys translate_off
+defparam \rst_n~0clkctrl .clock_type = "global clock";
+defparam \rst_n~0clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N5
+dffeas \vga_ctrl_inst|cnt_v[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[3]~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
+// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~7 ),
+ .combout(\vga_ctrl_inst|Add1~8_combout ),
+ .cout(\vga_ctrl_inst|Add1~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
+// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add0~0_combout ),
+ .cout(\vga_ctrl_inst|Add0~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
+defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N1
+dffeas \vga_ctrl_inst|cnt_h[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
+// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~1 ),
+ .combout(\vga_ctrl_inst|Add0~2_combout ),
+ .cout(\vga_ctrl_inst|Add0~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N3
+dffeas \vga_ctrl_inst|cnt_h[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
+// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~3 ),
+ .combout(\vga_ctrl_inst|Add0~4_combout ),
+ .cout(\vga_ctrl_inst|Add0~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N5
+dffeas \vga_ctrl_inst|cnt_h[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
+// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~7 ),
+ .combout(\vga_ctrl_inst|Add0~8_combout ),
+ .cout(\vga_ctrl_inst|Add0~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N9
+dffeas \vga_ctrl_inst|cnt_h[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
+// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~9 ),
+ .combout(\vga_ctrl_inst|Add0~10_combout ),
+ .cout(\vga_ctrl_inst|Add0~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
+// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [6]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~11 ),
+ .combout(\vga_ctrl_inst|Add0~12_combout ),
+ .cout(\vga_ctrl_inst|Add0~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N13
+dffeas \vga_ctrl_inst|cnt_h[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~12_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
+// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~13 ),
+ .combout(\vga_ctrl_inst|Add0~14_combout ),
+ .cout(\vga_ctrl_inst|Add0~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N15
+dffeas \vga_ctrl_inst|cnt_h[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~14_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
+// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~15 ),
+ .combout(\vga_ctrl_inst|Add0~16_combout ),
+ .cout(\vga_ctrl_inst|Add0~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [1] & (\vga_ctrl_inst|cnt_h [2] & \vga_ctrl_inst|cnt_h [0])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(\vga_ctrl_inst|cnt_h [1]),
+ .datac(\vga_ctrl_inst|cnt_h [2]),
+ .datad(\vga_ctrl_inst|cnt_h [0]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~1_combout ),
+ .datab(\vga_ctrl_inst|Add0~16_combout ),
+ .datac(\vga_ctrl_inst|Equal0~0_combout ),
+ .datad(\vga_ctrl_inst|Equal0~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h4CCC;
+defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N27
+dffeas \vga_ctrl_inst|cnt_h[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~2_combout = (!\vga_ctrl_inst|cnt_h [5] & (\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|cnt_h [6] & \vga_ctrl_inst|cnt_h [8])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(\vga_ctrl_inst|cnt_h [6]),
+ .datad(\vga_ctrl_inst|cnt_h [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0400;
+defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~18_combout = (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|Add0~17 )) # (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Add0~17 ) # (GND)))
+// \vga_ctrl_inst|Add0~19 = CARRY((!\vga_ctrl_inst|Add0~17 ) # (!\vga_ctrl_inst|cnt_h [9]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~17 ),
+ .combout(\vga_ctrl_inst|Add0~18_combout ),
+ .cout(\vga_ctrl_inst|Add0~19 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~1_combout = (\vga_ctrl_inst|Add0~18_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~1_combout ),
+ .datab(\vga_ctrl_inst|Add0~18_combout ),
+ .datac(\vga_ctrl_inst|Equal0~0_combout ),
+ .datad(\vga_ctrl_inst|Equal0~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h4CCC;
+defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N25
+dffeas \vga_ctrl_inst|cnt_h[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~20 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~20_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add0~19 $ (GND))) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add0~19 & VCC))
+// \vga_ctrl_inst|Add0~21 = CARRY((\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add0~19 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [10]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~19 ),
+ .combout(\vga_ctrl_inst|Add0~20_combout ),
+ .cout(\vga_ctrl_inst|Add0~21 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~20 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N21
+dffeas \vga_ctrl_inst|cnt_h[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~20_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[10] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~22 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~22_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add0~21 )
+
+ .dataa(\vga_ctrl_inst|cnt_h [11]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add0~21 ),
+ .combout(\vga_ctrl_inst|Add0~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~22 .lut_mask = 16'h5A5A;
+defparam \vga_ctrl_inst|Add0~22 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N23
+dffeas \vga_ctrl_inst|cnt_h[11] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~22_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[11] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~1_combout = (!\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|cnt_h [11] & !\vga_ctrl_inst|cnt_h [10])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(\vga_ctrl_inst|cnt_h [11]),
+ .datad(\vga_ctrl_inst|cnt_h [10]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h0004;
+defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|Equal0~2_combout & (\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|Equal0~0_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Equal0~2_combout ),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|Equal0~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'hC000;
+defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~5 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[4]~5_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~8_combout ) # ((\vga_ctrl_inst|cnt_v [4] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~8_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [4]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[4]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4]~5 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[4]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N1
+dffeas \vga_ctrl_inst|cnt_v[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[4]~5_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
+// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [5]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~9 ),
+ .combout(\vga_ctrl_inst|Add1~10_combout ),
+ .cout(\vga_ctrl_inst|Add1~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~10 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[5]~10_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [5] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~10_combout ) # ((\vga_ctrl_inst|cnt_v [5] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~10_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [5]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[5]~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5]~10 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[5]~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N3
+dffeas \vga_ctrl_inst|cnt_v[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[5]~10_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
+// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~11 ),
+ .combout(\vga_ctrl_inst|Add1~12_combout ),
+ .cout(\vga_ctrl_inst|Add1~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N14
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~8 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[6]~8_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~12_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [6]) # ((\vga_ctrl_inst|Add1~12_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~12_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [6]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[6]~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6]~8 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[6]~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N15
+dffeas \vga_ctrl_inst|cnt_v[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[6]~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
+// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~13 ),
+ .combout(\vga_ctrl_inst|Add1~14_combout ),
+ .cout(\vga_ctrl_inst|Add1~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N28
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~7 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[7]~7_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~14_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [7]) # ((\vga_ctrl_inst|Add1~14_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~14_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[7]~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7]~7 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[7]~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N29
+dffeas \vga_ctrl_inst|cnt_v[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[7]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
+// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~15 ),
+ .combout(\vga_ctrl_inst|Add1~16_combout ),
+ .cout(\vga_ctrl_inst|Add1~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N26
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~6 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[8]~6_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~16_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [8]) # ((\vga_ctrl_inst|Add1~16_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~16_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [8]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[8]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8]~6 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[8]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N27
+dffeas \vga_ctrl_inst|cnt_v[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[8]~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N26
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~18_combout = (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|Add1~17 )) # (!\vga_ctrl_inst|cnt_v [9] & ((\vga_ctrl_inst|Add1~17 ) # (GND)))
+// \vga_ctrl_inst|Add1~19 = CARRY((!\vga_ctrl_inst|Add1~17 ) # (!\vga_ctrl_inst|cnt_v [9]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [9]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~17 ),
+ .combout(\vga_ctrl_inst|Add1~18_combout ),
+ .cout(\vga_ctrl_inst|Add1~19 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N8
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~9 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[9]~9_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~18_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [9]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~18_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|Add1~18_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[9]~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9]~9 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[9]~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N9
+dffeas \vga_ctrl_inst|cnt_v[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[9]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~20 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~20_combout = (\vga_ctrl_inst|cnt_v [10] & (\vga_ctrl_inst|Add1~19 $ (GND))) # (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|Add1~19 & VCC))
+// \vga_ctrl_inst|Add1~21 = CARRY((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Add1~19 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [10]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~19 ),
+ .combout(\vga_ctrl_inst|Add1~20_combout ),
+ .cout(\vga_ctrl_inst|Add1~21 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~20 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N6
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[10]~12 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[10]~12_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~20_combout ) # ((\vga_ctrl_inst|cnt_v [10] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~20_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [10]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[10]~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[10]~12 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[10]~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N7
+dffeas \vga_ctrl_inst|cnt_v[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[10]~12_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[10] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N30
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~22 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~22_combout = \vga_ctrl_inst|cnt_v [11] $ (\vga_ctrl_inst|Add1~21 )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [11]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add1~21 ),
+ .combout(\vga_ctrl_inst|Add1~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~22 .lut_mask = 16'h3C3C;
+defparam \vga_ctrl_inst|Add1~22 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~11 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[11]~11_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~22_combout ) # ((\vga_ctrl_inst|cnt_v [11] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~22_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [11]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[11]~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[11]~11 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[11]~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N5
+dffeas \vga_ctrl_inst|cnt_v[11] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[11]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[11] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~8 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~8_combout = (!\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|cnt_v [11])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|cnt_v [10]),
+ .datad(\vga_ctrl_inst|cnt_v [11]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~8 .lut_mask = 16'h000F;
+defparam \vga_ctrl_inst|pix_data_req~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N12
+cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
+// Equation(s):
+// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|cnt_v [7])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [5]),
+ .datab(\vga_ctrl_inst|cnt_v [6]),
+ .datac(\vga_ctrl_inst|cnt_v [8]),
+ .datad(\vga_ctrl_inst|cnt_v [7]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N24
+cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
+// Equation(s):
+// \vga_ctrl_inst|always1~1_combout = (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|cnt_v [1] & (\vga_ctrl_inst|pix_data_req~8_combout & \vga_ctrl_inst|always1~0_combout )))
+
+ .dataa(\vga_ctrl_inst|cnt_v [4]),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(\vga_ctrl_inst|pix_data_req~8_combout ),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N20
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[11]~0_combout = ((\vga_ctrl_inst|always1~2_combout & \vga_ctrl_inst|always1~1_combout )) # (!\vga_ctrl_inst|Equal0~3_combout )
+
+ .dataa(\vga_ctrl_inst|always1~2_combout ),
+ .datab(\vga_ctrl_inst|always1~1_combout ),
+ .datac(\vga_ctrl_inst|Equal0~3_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[11]~0 .lut_mask = 16'h8F8F;
+defparam \vga_ctrl_inst|cnt_v[11]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[1]~1_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [1]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~2_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [1]),
+ .datad(\vga_ctrl_inst|Add1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[1]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1]~1 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[1]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N1
+dffeas \vga_ctrl_inst|cnt_v[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[1]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[0]~2_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~0_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [0]) # ((\vga_ctrl_inst|Add1~0_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [0]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[0]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0]~2 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[0]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N3
+dffeas \vga_ctrl_inst|cnt_v[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[0]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N16
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|cnt_v [3] & ((!\vga_ctrl_inst|cnt_v [0]) # (!\vga_ctrl_inst|cnt_v [1]))))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|cnt_v [0]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0105;
+defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N18
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|cnt_v [7])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(\vga_ctrl_inst|cnt_v [9]),
+ .datac(\vga_ctrl_inst|cnt_v [6]),
+ .datad(\vga_ctrl_inst|cnt_v [7]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N6
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~1_combout = (\vga_ctrl_inst|cnt_v [4] & (((!\vga_ctrl_inst|always1~0_combout )))) # (!\vga_ctrl_inst|cnt_v [4] & ((\vga_ctrl_inst|LessThan6~0_combout & (!\vga_ctrl_inst|pix_data_req~0_combout )) #
+// (!\vga_ctrl_inst|LessThan6~0_combout & ((!\vga_ctrl_inst|always1~0_combout )))))
+
+ .dataa(\vga_ctrl_inst|cnt_v [4]),
+ .datab(\vga_ctrl_inst|LessThan6~0_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h04BF;
+defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~2_combout = (!\vga_ctrl_inst|cnt_v [11] & (!\vga_ctrl_inst|cnt_h [11] & (!\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|cnt_h [10])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [11]),
+ .datab(\vga_ctrl_inst|cnt_h [11]),
+ .datac(\vga_ctrl_inst|cnt_v [10]),
+ .datad(\vga_ctrl_inst|cnt_h [10]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9]))))
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'h8C00;
+defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & (((!\vga_ctrl_inst|Equal0~1_combout ) # (!\vga_ctrl_inst|Equal0~2_combout )) # (!\vga_ctrl_inst|Equal0~0_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~0_combout ),
+ .datab(\vga_ctrl_inst|Equal0~2_combout ),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|Add0~10_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h7F00;
+defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N1
+dffeas \vga_ctrl_inst|cnt_h[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [1]),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~1_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
+defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~1_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~3_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
+defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~3_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~5_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
+defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~5_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~7_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [5]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~7_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~9_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00CF;
+defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
+// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~9_cout ),
+ .combout(\vga_ctrl_inst|Add2~10_combout ),
+ .cout(\vga_ctrl_inst|Add2~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
+defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
+// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~11 ),
+ .combout(\vga_ctrl_inst|Add2~12_combout ),
+ .cout(\vga_ctrl_inst|Add2~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
+// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~13 ),
+ .combout(\vga_ctrl_inst|Add2~14_combout ),
+ .cout(\vga_ctrl_inst|Add2~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hC303;
+defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|always0~1 (
+// Equation(s):
+// \vga_pic_inst|always0~1_combout = ((\vga_ctrl_inst|Add2~14_combout ) # (\vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|pix_data_req~7_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_pic_inst|always0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|always0~1 .lut_mask = 16'hFDFD;
+defparam \vga_pic_inst|always0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N30
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~2 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~2_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~2 .lut_mask = 16'h000A;
+defparam \vga_pic_inst|LessThan17~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~16_combout = (\vga_ctrl_inst|cnt_h [9] & ((GND) # (!\vga_ctrl_inst|Add2~15 ))) # (!\vga_ctrl_inst|cnt_h [9] & (\vga_ctrl_inst|Add2~15 $ (GND)))
+// \vga_ctrl_inst|Add2~17 = CARRY((\vga_ctrl_inst|cnt_h [9]) # (!\vga_ctrl_inst|Add2~15 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~15 ),
+ .combout(\vga_ctrl_inst|Add2~16_combout ),
+ .cout(\vga_ctrl_inst|Add2~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h3CCF;
+defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N18
+cycloneive_lcell_comb \vga_pic_inst|always0~2 (
+// Equation(s):
+// \vga_pic_inst|always0~2_combout = (\vga_ctrl_inst|Add2~18_combout ) # ((\vga_pic_inst|always0~1_combout ) # ((\vga_pic_inst|LessThan17~2_combout ) # (\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~18_combout ),
+ .datab(\vga_pic_inst|always0~1_combout ),
+ .datac(\vga_pic_inst|LessThan17~2_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|always0~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|always0~2 .lut_mask = 16'hFFFE;
+defparam \vga_pic_inst|always0~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N8
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~8 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~8_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~8 .lut_mask = 16'h3F3F;
+defparam \vga_pic_inst|pix_data[13]~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~18_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add2~17 & VCC)) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add2~17 ))
+// \vga_ctrl_inst|Add2~19 = CARRY((!\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add2~17 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [10]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~17 ),
+ .combout(\vga_ctrl_inst|Add2~18_combout ),
+ .cout(\vga_ctrl_inst|Add2~19 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~18 .lut_mask = 16'hA505;
+defparam \vga_ctrl_inst|Add2~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~9 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~9_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data[13]~8_combout & (!\vga_ctrl_inst|Add2~18_combout & !\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|pix_data[13]~8_combout ),
+ .datac(\vga_ctrl_inst|Add2~18_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~9 .lut_mask = 16'h0008;
+defparam \vga_pic_inst|pix_data[13]~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~20 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~20_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add2~19 )
+
+ .dataa(\vga_ctrl_inst|cnt_h [11]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add2~19 ),
+ .combout(\vga_ctrl_inst|Add2~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~20 .lut_mask = 16'h5A5A;
+defparam \vga_ctrl_inst|Add2~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|pix_x[11]~0 (
+// Equation(s):
+// \vga_ctrl_inst|pix_x[11]~0_combout = (\vga_ctrl_inst|Add2~20_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~20_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_x[11]~0 .lut_mask = 16'hFF55;
+defparam \vga_ctrl_inst|pix_x[11]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
+// Equation(s):
+// \vga_pic_inst|pix_data~16_combout = (!\vga_pic_inst|pix_data[9]~15_combout & (\vga_pic_inst|always0~2_combout & (\vga_pic_inst|pix_data[13]~9_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
+
+ .dataa(\vga_pic_inst|pix_data[9]~15_combout ),
+ .datab(\vga_pic_inst|always0~2_combout ),
+ .datac(\vga_pic_inst|pix_data[13]~9_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'h0040;
+defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
+// Equation(s):
+// \vga_pic_inst|pix_data~17_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~16_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~17_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0030;
+defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~34 (
+// Equation(s):
+// \vga_pic_inst|pix_data~34_combout = ((\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data~17_combout & !\vga_ctrl_inst|Add2~18_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|pix_data~16_combout ),
+ .datac(\vga_pic_inst|pix_data~17_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~34_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~34 .lut_mask = 16'h33B3;
+defparam \vga_pic_inst|pix_data~34 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y22_N10
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~5 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~5_combout = \vga_ctrl_inst|cnt_h [9] $ (\vga_ctrl_inst|cnt_h [8])
+
+ .dataa(\vga_ctrl_inst|cnt_h [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~5 .lut_mask = 16'h55AA;
+defparam \vga_ctrl_inst|pix_data_req~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y22_N12
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~6 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~6_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Equal0~0_combout ) # (!\vga_ctrl_inst|LessThan4~0_combout )))) # (!\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|LessThan4~0_combout &
+// (!\vga_ctrl_inst|Equal0~0_combout & \vga_ctrl_inst|cnt_h [9])))
+
+ .dataa(\vga_ctrl_inst|LessThan4~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|Equal0~0_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~6 .lut_mask = 16'h02C4;
+defparam \vga_ctrl_inst|pix_data_req~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~7 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~7_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & ((\vga_ctrl_inst|pix_data_req~5_combout ) # (\vga_ctrl_inst|pix_data_req~6_combout ))))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~5_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~6_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~7 .lut_mask = 16'hA800;
+defparam \vga_ctrl_inst|pix_data_req~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
+// Equation(s):
+// \vga_pic_inst|pix_data~12_combout = (\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~18_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'h0020;
+defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~11 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~11_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|pix_data_req~7_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~11 .lut_mask = 16'hEFAF;
+defparam \vga_pic_inst|pix_data[13]~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N22
+cycloneive_lcell_comb \vga_pic_inst|always0~0 (
+// Equation(s):
+// \vga_pic_inst|always0~0_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )) # (!\vga_pic_inst|pix_data[13]~11_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_pic_inst|pix_data[13]~11_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|always0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|always0~0 .lut_mask = 16'hFFBF;
+defparam \vga_pic_inst|always0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N18
+cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'h8800;
+defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N2
+cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
+// Equation(s):
+// \vga_pic_inst|pix_data~13_combout = (\vga_ctrl_inst|Add2~12_combout & (((\vga_pic_inst|always0~0_combout ) # (\vga_pic_inst|LessThan14~0_combout )))) # (!\vga_ctrl_inst|Add2~12_combout & (!\vga_pic_inst|pix_data~12_combout &
+// ((\vga_pic_inst|always0~0_combout ) # (\vga_pic_inst|LessThan14~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_pic_inst|pix_data~12_combout ),
+ .datac(\vga_pic_inst|always0~0_combout ),
+ .datad(\vga_pic_inst|LessThan14~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'hBBB0;
+defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N8
+cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
+// Equation(s):
+// \vga_pic_inst|pix_data~18_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & (!\vga_pic_inst|pix_data~13_combout & !\vga_ctrl_inst|pix_x[11]~0_combout ))) # (!\vga_pic_inst|pix_data~34_combout )
+
+ .dataa(\vga_pic_inst|pix_data[13]~10_combout ),
+ .datab(\vga_pic_inst|pix_data~34_combout ),
+ .datac(\vga_pic_inst|pix_data~13_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h3337;
+defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N9
+dffeas \vga_pic_inst|pix_data[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~18_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N30
+cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
+// Equation(s):
+// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((!\vga_pic_inst|LessThan14~0_combout & (!\vga_pic_inst|always0~0_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
+
+ .dataa(\vga_pic_inst|LessThan17~3_combout ),
+ .datab(\vga_pic_inst|LessThan14~0_combout ),
+ .datac(\vga_pic_inst|always0~0_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~19_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hAAAB;
+defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
+// Equation(s):
+// \vga_pic_inst|pix_data~20_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & \vga_pic_inst|pix_data~19_combout )) # (!\vga_pic_inst|pix_data~34_combout )
+
+ .dataa(\vga_pic_inst|pix_data[13]~10_combout ),
+ .datab(gnd),
+ .datac(\vga_pic_inst|pix_data~19_combout ),
+ .datad(\vga_pic_inst|pix_data~34_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h50FF;
+defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N11
+dffeas \vga_pic_inst|pix_data[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~20_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add6~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add6~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~3_combout & (\vga_pic_inst|pix_data [4] & \vga_pic_inst|pix_data [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .datac(\vga_pic_inst|pix_data [4]),
+ .datad(\vga_pic_inst|pix_data [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .lut_mask = 16'h8000;
+defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N25
+dffeas \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan4~0_combout = (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(\vga_ctrl_inst|cnt_h [6]),
+ .datad(\vga_ctrl_inst|cnt_h [5]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan4~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add4~0_combout = (\vga_ctrl_inst|cnt_h [8] & (((!\vga_ctrl_inst|cnt_h [7] & \vga_ctrl_inst|LessThan4~0_combout )) # (!\vga_ctrl_inst|cnt_h [9]))) # (!\vga_ctrl_inst|cnt_h [8] & ((\vga_ctrl_inst|cnt_h [9]) #
+// ((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|LessThan4~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|LessThan4~0_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .lut_mask = 16'h75AE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~4_combout = (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9])))
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'hAF00;
+defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[2]~1 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[2]~1_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [0] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [0]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[2]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[2]~1 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[2]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[2]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & ((!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .lut_mask = 16'h3A3A;
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N5
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add12~1_combout = (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] & \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .lut_mask = 16'h5500;
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N23
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) # (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .lut_mask = 16'hFEFE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N1
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .lut_mask = 16'h40F4;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst0|Add14~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .lut_mask = 16'h00FF;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N25
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst0|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst0|cnt [1] & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst0|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add19~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst0|cnt [1] $ (VCC)))
+// \hdmi_ctrl_inst|encode_inst0|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst0|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add22~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .lut_mask = 16'h99EE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .lut_mask = 16'h7744;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .lut_mask = 16'h9090;
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N19
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~0_combout & (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .lut_mask = 16'hA4AE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~14 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~13_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add19~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .lut_mask = 16'h58F8;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~0 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[1]~0_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [4] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [4]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[1]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[1]~0 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[1]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N19
+dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[1]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X28_Y21_N1
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2])))) #
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .lut_mask = 16'h9009;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .lut_mask = 16'h00F0;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ) # (\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .lut_mask = 16'h00EE;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout &
+// (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout &
+// ((!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add4~1_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout ))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .lut_mask = 16'hA000;
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N15
+dffeas \hdmi_ctrl_inst|encode_inst2|de_reg1 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|de_reg1~q
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .lut_mask = 16'hF0F0;
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst2|de_reg2 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N7
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~16 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|cnt [0])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .lut_mask = 16'h3F30;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~12_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|cnt [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_2~combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] &
+// ((\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ) # ((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .lut_mask = 16'h44F4;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~6_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add22~5 )
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add22~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .lut_mask = 16'hC3C3;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~6_combout = \hdmi_ctrl_inst|encode_inst0|Add19~5 $ (\hdmi_ctrl_inst|encode_inst0|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add19~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~6_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .lut_mask = 16'hFEDC;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .lut_mask = 16'h00FF;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N21
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .lut_mask = 16'hAEEE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst0|Add20~2_combout )) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .lut_mask = 16'hEE50;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~7_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~7_combout
+// & (\hdmi_ctrl_inst|encode_inst0|Add17~4_combout & (\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .lut_mask = 16'hEC2C;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst0|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .lut_mask = 16'hA55A;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N15
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst0|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [4] &
+// (\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & ((\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .lut_mask = 16'hFA0C;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N27
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~1_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .lut_mask = 16'hB41E;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~1_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~1 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan0~1_combout = (!\vga_ctrl_inst|LessThan0~0_combout & (!\vga_ctrl_inst|cnt_h [8] & ((!\vga_ctrl_inst|cnt_h [6]) # (!\vga_ctrl_inst|cnt_h [5]))))
+
+ .dataa(\vga_ctrl_inst|LessThan0~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [5]),
+ .datac(\vga_ctrl_inst|cnt_h [6]),
+ .datad(\vga_ctrl_inst|cnt_h [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan0~1 .lut_mask = 16'h0015;
+defparam \vga_ctrl_inst|LessThan0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X30_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg1 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|LessThan0~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X30_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg2 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .lut_mask = 16'h3C3C;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N7
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~2_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .lut_mask = 16'hA35C;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N19
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [3]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .lut_mask = 16'hCCAA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .lut_mask = 16'hDD88;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N31
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X30_Y21_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [0]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .lut_mask = 16'hF0AA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N7
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y22_N18
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~30 (
+// Equation(s):
+// \vga_pic_inst|pix_data~30_combout = (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~18_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~20_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~30_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~30 .lut_mask = 16'h0010;
+defparam \vga_pic_inst|pix_data~30 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N12
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~3 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~3_combout = (\vga_pic_inst|LessThan17~4_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~18_combout )))
+
+ .dataa(\vga_pic_inst|LessThan17~4_combout ),
+ .datab(\vga_ctrl_inst|Add2~20_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~3 .lut_mask = 16'h0020;
+defparam \vga_pic_inst|LessThan17~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N8
+cycloneive_lcell_comb \vga_pic_inst|pix_data~31 (
+// Equation(s):
+// \vga_pic_inst|pix_data~31_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((\vga_pic_inst|pix_data~29_combout & \vga_pic_inst|pix_data~30_combout ))
+
+ .dataa(\vga_pic_inst|pix_data~29_combout ),
+ .datab(\vga_pic_inst|pix_data~30_combout ),
+ .datac(gnd),
+ .datad(\vga_pic_inst|LessThan17~3_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~31_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~31 .lut_mask = 16'hFF88;
+defparam \vga_pic_inst|pix_data~31 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X26_Y23_N9
+dffeas \vga_pic_inst|pix_data[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~31_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[6]~4 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[6]~4_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [8] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[6]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[6]~4 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[6]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N23
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[6]~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|pix_x[10]~1 (
+// Equation(s):
+// \vga_ctrl_inst|pix_x[10]~1_combout = (\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~18_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_x[10]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_x[10]~1 .lut_mask = 16'hF5F5;
+defparam \vga_ctrl_inst|pix_x[10]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N22
+cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
+// Equation(s):
+// \vga_pic_inst|pix_data~23_combout = (\vga_pic_inst|pix_data~22_combout & (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_pic_inst|pix_data~22_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~23_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'h0020;
+defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N2
+cycloneive_lcell_comb \vga_pic_inst|LessThan10~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan10~0_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|LessThan17~2_combout ) # (!\vga_ctrl_inst|Add2~14_combout ))))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_pic_inst|LessThan17~2_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan10~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan10~0 .lut_mask = 16'h00A2;
+defparam \vga_pic_inst|LessThan10~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
+// Equation(s):
+// \vga_pic_inst|pix_data~25_combout = (!\vga_ctrl_inst|pix_x[10]~1_combout & ((\vga_pic_inst|pix_data~23_combout ) # ((!\vga_pic_inst|pix_data[13]~24_combout & \vga_pic_inst|LessThan10~0_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data[13]~24_combout ),
+ .datab(\vga_ctrl_inst|pix_x[10]~1_combout ),
+ .datac(\vga_pic_inst|pix_data~23_combout ),
+ .datad(\vga_pic_inst|LessThan10~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~25_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h3130;
+defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~14 (
+// Equation(s):
+// \vga_pic_inst|pix_data[9]~14_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~14_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[9]~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9]~14 .lut_mask = 16'h00AA;
+defparam \vga_pic_inst|pix_data[9]~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N14
+cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~15 (
+// Equation(s):
+// \vga_pic_inst|pix_data[9]~15_combout = (\vga_pic_inst|LessThan17~2_combout & (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~18_combout & \vga_pic_inst|pix_data[9]~14_combout )))
+
+ .dataa(\vga_pic_inst|LessThan17~2_combout ),
+ .datab(\vga_ctrl_inst|Add2~16_combout ),
+ .datac(\vga_ctrl_inst|Add2~18_combout ),
+ .datad(\vga_pic_inst|pix_data[9]~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[9]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9]~15 .lut_mask = 16'h0200;
+defparam \vga_pic_inst|pix_data[9]~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N22
+cycloneive_lcell_comb \vga_pic_inst|pix_data~36 (
+// Equation(s):
+// \vga_pic_inst|pix_data~36_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & !\vga_ctrl_inst|Add2~20_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|always0~2_combout ),
+ .datac(\vga_pic_inst|pix_data[9]~15_combout ),
+ .datad(\vga_ctrl_inst|Add2~20_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~36_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~36 .lut_mask = 16'h0008;
+defparam \vga_pic_inst|pix_data~36 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
+// Equation(s):
+// \vga_pic_inst|pix_data~21_combout = (!\vga_ctrl_inst|Add2~12_combout & (\vga_pic_inst|pix_data~12_combout & ((\vga_pic_inst|always0~0_combout ) # (\vga_pic_inst|LessThan14~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_pic_inst|pix_data~12_combout ),
+ .datac(\vga_pic_inst|always0~0_combout ),
+ .datad(\vga_pic_inst|LessThan14~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~21_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'h4440;
+defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N14
+cycloneive_lcell_comb \vga_pic_inst|pix_data~28 (
+// Equation(s):
+// \vga_pic_inst|pix_data~28_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~35_combout ),
+ .datab(\vga_pic_inst|pix_data~25_combout ),
+ .datac(\vga_pic_inst|pix_data~36_combout ),
+ .datad(\vga_pic_inst|pix_data~21_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~28_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~28 .lut_mask = 16'hE0C0;
+defparam \vga_pic_inst|pix_data~28 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N15
+dffeas \vga_pic_inst|pix_data[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~28_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[7]~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [9] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [9]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
+// Equation(s):
+// \vga_pic_inst|pix_data~26_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~35_combout ),
+ .datab(\vga_pic_inst|pix_data~25_combout ),
+ .datac(\vga_pic_inst|pix_data~36_combout ),
+ .datad(\vga_pic_inst|pix_data~21_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~26_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hE0C0;
+defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data~27 (
+// Equation(s):
+// \vga_pic_inst|pix_data~27_combout = (\vga_pic_inst|pix_data~26_combout ) # ((!\vga_pic_inst|pix_data[9]~15_combout & (!\vga_pic_inst|always0~2_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
+
+ .dataa(\vga_pic_inst|pix_data[9]~15_combout ),
+ .datab(\vga_pic_inst|always0~2_combout ),
+ .datac(\vga_pic_inst|pix_data~26_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~27_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~27 .lut_mask = 16'hF0F1;
+defparam \vga_pic_inst|pix_data~27 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N21
+dffeas \vga_pic_inst|pix_data[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~27_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~2 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[10]~2_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [10] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [10]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[10]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[10]~2 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[10]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N17
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[10]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add13~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
+// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
+// (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .lut_mask = 16'hF690;
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (((!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]) # ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]) # (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .lut_mask = 16'h777E;
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])))) #
+// (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .lut_mask = 16'h0990;
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N11
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & \hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]) # ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .lut_mask = 16'h7150;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .lut_mask = 16'h0A8E;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add14~2_combout = (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [4] & ((\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ) # ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [4] &
+// (((\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .lut_mask = 16'hAAD8;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add5~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add5~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~1_combout & (\vga_pic_inst|pix_data [8] & ((\vga_pic_inst|pix_data [9]) # (\vga_pic_inst|pix_data [10]))))
+
+ .dataa(\vga_pic_inst|pix_data [9]),
+ .datab(\vga_pic_inst|pix_data [10]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
+ .datad(\vga_pic_inst|pix_data [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .lut_mask = 16'hE000;
+defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .lut_mask = 16'h7722;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y21_N7
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~16 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .lut_mask = 16'h44EE;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add19~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add19~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .lut_mask = 16'h5A5F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add19~3 & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add19~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add19~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add19~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .lut_mask = 16'hA50A;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~6_combout = \hdmi_ctrl_inst|encode_inst1|Add19~5 $ (\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add19~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add22~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add22~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add22~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .lut_mask = 16'h5AAF;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~6_combout = \hdmi_ctrl_inst|encode_inst1|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add22~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~6_combout )) #
+// (!\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .lut_mask = 16'hEFEA;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add13~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
+// (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .lut_mask = 16'hC0FC;
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N23
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & (((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~7_combout &
+// (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .lut_mask = 16'h7A2A;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout &
+// (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout &
+// ((!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~12_combout &
+// (\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout &
+// (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout &
+// ((!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~4_combout &
+// (\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst1|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .lut_mask = 16'hA55A;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N19
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N15
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [4] & (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|cnt [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst1|cnt [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .lut_mask = 16'h00CC;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .lut_mask = 16'h00EE;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N11
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .lut_mask = 16'hCCE2;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~14 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .lut_mask = 16'hE2CC;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N13
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~5_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .lut_mask = 16'hA7A2;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .lut_mask = 16'hECEC;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N17
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_2~combout = (\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & (((!\hdmi_ctrl_inst|encode_inst1|cnt [3] & \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .lut_mask = 16'h3B0A;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~1_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~1_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N1
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [3]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .lut_mask = 16'hCCAA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .lut_mask = 16'hD8D8;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N21
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [0]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .lut_mask = 16'hCCAA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N31
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y23_N11
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~1_combout
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .lut_mask = 16'hAAAA;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N22
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan1~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|always1~1_combout & (!\vga_ctrl_inst|cnt_v [3] & !\vga_ctrl_inst|cnt_v [9])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(\vga_ctrl_inst|always1~1_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|cnt_v [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'h0004;
+defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N23
+dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg1 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|LessThan1~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|c1_reg1~q
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N3
+dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg2 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
+// (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .lut_mask = 16'hAAC3;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N25
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & \hdmi_ctrl_inst|encode_inst2|data_out [9])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .lut_mask = 16'hCC00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .lut_mask = 16'hBB88;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N21
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N5
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N25
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~37 (
+// Equation(s):
+// \vga_pic_inst|pix_data~37_combout = ((\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data~23_combout & !\vga_ctrl_inst|Add2~18_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|pix_data~16_combout ),
+ .datac(\vga_pic_inst|pix_data~23_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~37_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~37 .lut_mask = 16'h33B3;
+defparam \vga_pic_inst|pix_data~37 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N6
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~10 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~10_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data[13]~9_combout & !\vga_ctrl_inst|Add2~20_combout ))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(\vga_pic_inst|pix_data[13]~9_combout ),
+ .datad(\vga_ctrl_inst|Add2~20_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~10 .lut_mask = 16'h00A0;
+defparam \vga_pic_inst|pix_data[13]~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N18
+cycloneive_lcell_comb \vga_pic_inst|pix_data~33 (
+// Equation(s):
+// \vga_pic_inst|pix_data~33_combout = (\vga_pic_inst|pix_data~37_combout & ((\vga_pic_inst|pix_data~19_combout ) # (\vga_pic_inst|pix_data[13]~10_combout )))
+
+ .dataa(gnd),
+ .datab(\vga_pic_inst|pix_data~37_combout ),
+ .datac(\vga_pic_inst|pix_data~19_combout ),
+ .datad(\vga_pic_inst|pix_data[13]~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~33_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~33 .lut_mask = 16'hCCC0;
+defparam \vga_pic_inst|pix_data~33 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N19
+dffeas \vga_pic_inst|pix_data[13] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~33_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|pix_data~32 (
+// Equation(s):
+// \vga_pic_inst|pix_data~32_combout = (\vga_pic_inst|pix_data~37_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & ((\vga_pic_inst|pix_data[13]~9_combout ) # (!\vga_pic_inst|pix_data~13_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data[13]~9_combout ),
+ .datab(\vga_pic_inst|pix_data~37_combout ),
+ .datac(\vga_pic_inst|pix_data~13_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~32_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~32 .lut_mask = 16'h008C;
+defparam \vga_pic_inst|pix_data~32 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N25
+dffeas \vga_pic_inst|pix_data[15] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~32_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add6~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\vga_pic_inst|pix_data [13] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_pic_inst|pix_data [15])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .datab(\vga_pic_inst|pix_data [13]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_pic_inst|pix_data [15]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .lut_mask = 16'h8000;
+defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .lut_mask = 16'h00FF;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[13]~6 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[13]~6_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [13] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [13]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[13]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[13]~6 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[13]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[13]~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~5 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[12]~5_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [15] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [15]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[12]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[12]~5 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[12]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[12]~5_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]) # ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) # (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .lut_mask = 16'hFFFA;
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N25
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add12~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .lut_mask = 16'h00AA;
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N15
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (!\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .lut_mask = 16'h5F50;
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .lut_mask = 16'h0C8E;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst2|Add14~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) #
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .lut_mask = 16'h8241;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_2~combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .lut_mask = 16'h0CAE;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .lut_mask = 16'h3C3F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .lut_mask = 16'hA050;
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|Add23~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add23~1 ))))
+// \hdmi_ctrl_inst|encode_inst2|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((!\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|Add23~1 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add23~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add23~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .lut_mask = 16'h692B;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add23~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst2|Add23~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add23~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add23~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .lut_mask = 16'h5A05;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|condition_2~combout )) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~4_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .lut_mask = 16'hD9C8;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~16 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|cnt [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .lut_mask = 16'h7744;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC)))
+// \hdmi_ctrl_inst|encode_inst2|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1]) # (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add22~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .lut_mask = 16'h99EE;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add22~0_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .lut_mask = 16'hAA72;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & \hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add19~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~14 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~13_combout & (((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~13_combout
+// & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .lut_mask = 16'h7C4C;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout &
+// (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout &
+// ((!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~12_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|cnt [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst2|cnt [3])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .lut_mask = 16'h00F0;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .lut_mask = 16'h00EE;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add15~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|cnt
+// [2] & !\hdmi_ctrl_inst|encode_inst2|Add15~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// (\hdmi_ctrl_inst|encode_inst2|Add20~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add20~1 ))))
+// \hdmi_ctrl_inst|encode_inst2|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
+// ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~1 ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add20~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add20~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .lut_mask = 16'h694D;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ) # (\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add23~2_combout & ((!\hdmi_ctrl_inst|encode_inst2|condition_2~combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .lut_mask = 16'hAAE4;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~7_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~7_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add17~4_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .lut_mask = 16'hCFA0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
+// (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout &
+// ((!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add15~5
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] &
+// ((!\hdmi_ctrl_inst|encode_inst2|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add20~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add20~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add20~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .lut_mask = 16'h5AAF;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~3_combout & (\hdmi_ctrl_inst|encode_inst2|Add15~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~3_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ))))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .lut_mask = 16'hE6C4;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~4_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~6_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~6_combout = \hdmi_ctrl_inst|encode_inst2|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add22~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~6_combout = \hdmi_ctrl_inst|encode_inst2|Add19~5 $ (\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add19~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~6_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .lut_mask = 16'hFEF4;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~8_combout = \hdmi_ctrl_inst|encode_inst2|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add20~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add20~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~8_combout = \hdmi_ctrl_inst|encode_inst2|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add23~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add23~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~8_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~6_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .lut_mask = 16'hEE50;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add15~8_combout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ))))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .lut_mask = 16'hDDA0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst2|Add16~2_combout $ (\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .lut_mask = 16'hC33C;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N11
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst2|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [4] &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & (\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .lut_mask = 16'hFC0A;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .lut_mask = 16'h7722;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .lut_mask = 16'hCF03;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .lut_mask = 16'hCC00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N5
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N3
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N1
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y24_N4
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y22_N25
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y23_N18
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y24_N11
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+endmodule
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo
index d17b552..07bf248 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo
@@ -1,9062 +1,9062 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This file contains Slow Corner delays for the design using part EP4CE15F23C8,
-// with speed grade 8, core voltage 1.2V, and temperature 0 Celsius
-//
-
-//
-// This SDF file should be used for ModelSim (Verilog) only
-//
-
-(DELAYFILE
- (SDFVERSION "2.1")
- (DESIGN "hdmi_colorbar")
- (DATE "06/02/2023 04:17:19")
- (VENDOR "Altera")
- (PROGRAM "Quartus II 64-Bit")
- (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version")
- (DIVIDER .)
- (TIMESCALE 1 ps)
-
- (CELL
- (CELLTYPE "cycloneive_pll")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
- (DELAY
- (ABSOLUTE
- (PORT areset (3921:3921:3921) (3921:3921:3921))
- (PORT inclk[0] (2063:2063:2063) (2063:2063:2063))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1639:1639:1639) (1518:1518:1518))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (PORT sload (1285:1285:1285) (1355:1355:1355))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (PORT sclr (1345:1345:1345) (1474:1474:1474))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (PORT sclr (1345:1345:1345) (1474:1474:1474))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (PORT sclr (1345:1345:1345) (1474:1474:1474))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1611:1611:1611) (1507:1507:1507))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (PORT sload (1671:1671:1671) (1859:1859:1859))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1567:1567:1567) (1377:1377:1377))
- (PORT clrn (1689:1689:1689) (1643:1643:1643))
- (PORT sload (1571:1571:1571) (1688:1688:1688))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1826:1826:1826) (1644:1644:1644))
- (PORT clrn (1689:1689:1689) (1643:1643:1643))
- (PORT sload (1571:1571:1571) (1688:1688:1688))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1131:1131:1131) (958:958:958))
- (PORT datab (640:640:640) (602:602:602))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (583:583:583) (581:581:581))
- (PORT datab (807:807:807) (737:737:737))
- (IOPATH dataa combout (420:420:420) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (423:423:423) (453:453:453))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (559:559:559) (550:550:550))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (574:574:574) (566:566:566))
- (PORT datab (344:344:344) (402:402:402))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (873:873:873) (770:770:770))
- (PORT datab (629:629:629) (593:593:593))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (888:888:888) (773:773:773))
- (PORT datab (595:595:595) (581:581:581))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (654:654:654) (619:619:619))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (647:647:647) (607:607:607))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1130:1130:1130) (958:958:958))
- (PORT datab (642:642:642) (605:605:605))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (581:581:581) (579:579:579))
- (PORT datab (807:807:807) (738:738:738))
- (IOPATH dataa combout (414:414:414) (450:450:450))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (423:423:423) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (557:557:557) (548:548:548))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (577:577:577) (569:569:569))
- (PORT datab (348:348:348) (406:406:406))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (899:899:899) (780:780:780))
- (PORT datab (631:631:631) (595:595:595))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (808:808:808) (728:728:728))
- (PORT datab (598:598:598) (583:583:583))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (932:932:932) (805:805:805))
- (PORT datab (656:656:656) (621:621:621))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (649:649:649) (609:609:609))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (365:365:365) (425:425:425))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (630:630:630) (582:582:582))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (272:272:272) (284:284:284))
- (PORT datab (269:269:269) (276:276:276))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (822:822:822) (653:653:653))
- (PORT datab (266:266:266) (272:272:272))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (479:479:479) (423:423:423))
- (PORT datab (266:266:266) (273:273:273))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1522:1522:1522) (1333:1333:1333))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (PORT sload (1671:1671:1671) (1859:1859:1859))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1193:1193:1193) (1015:1015:1015))
- (PORT datab (1141:1141:1141) (977:977:977))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1100:1100:1100) (951:951:951))
- (PORT datab (1807:1807:1807) (1569:1569:1569))
- (IOPATH dataa combout (420:420:420) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (423:423:423) (453:453:453))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (943:943:943) (820:820:820))
- (IOPATH datab combout (423:423:423) (398:398:398))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (884:884:884) (780:780:780))
- (PORT datab (550:550:550) (525:525:525))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (878:878:878) (792:792:792))
- (PORT datab (1129:1129:1129) (963:963:963))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (904:904:904) (798:798:798))
- (PORT datab (1115:1115:1115) (952:952:952))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (900:900:900) (798:798:798))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (854:854:854) (775:775:775))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1191:1191:1191) (1013:1013:1013))
- (PORT datab (1142:1142:1142) (978:978:978))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (944:944:944) (821:821:821))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1801:1801:1801) (1512:1512:1512))
- (PORT datab (898:898:898) (796:796:796))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (901:901:901) (799:799:799))
- (PORT datab (883:883:883) (780:780:780))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (853:853:853) (774:774:774))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (854:854:854) (774:774:774))
- (PORT datab (624:624:624) (585:585:585))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (907:907:907) (802:802:802))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (848:848:848) (762:762:762))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (566:566:566) (551:551:551))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (855:855:855) (775:775:775))
- (PORT datab (623:623:623) (584:584:584))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (906:906:906) (800:800:800))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (847:847:847) (761:761:761))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1568:1568:1568) (1378:1378:1378))
- (PORT clrn (1689:1689:1689) (1643:1643:1643))
- (PORT sload (1571:1571:1571) (1688:1688:1688))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (894:894:894) (812:812:812))
- (PORT datab (895:895:895) (796:796:796))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (813:813:813) (722:722:722))
- (IOPATH datab combout (423:423:423) (398:398:398))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (847:847:847) (735:735:735))
- (PORT datab (338:338:338) (392:392:392))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (551:551:551) (545:545:545))
- (PORT datab (806:806:806) (720:720:720))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (341:341:341) (401:401:401))
- (PORT datab (794:794:794) (711:711:711))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (816:816:816) (736:736:736))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (893:893:893) (812:812:812))
- (PORT datab (891:891:891) (791:791:791))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (809:809:809) (719:719:719))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (813:813:813) (729:729:729))
- (PORT datab (348:348:348) (406:406:406))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (349:349:349) (412:412:412))
- (PORT datab (853:853:853) (746:746:746))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (874:874:874) (768:768:768))
- (PORT datab (340:340:340) (396:396:396))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~8)
- (DELAY
- (ABSOLUTE
- (PORT datad (332:332:332) (392:392:392))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (560:560:560) (539:539:539))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (557:557:557) (548:548:548))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (573:573:573) (549:549:549))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (931:931:931) (804:804:804))
- (PORT datab (839:839:839) (754:754:754))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (562:562:562) (542:542:542))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (560:560:560) (550:550:550))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (575:575:575) (551:551:551))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1601:1601:1601) (1403:1403:1403))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (PORT sload (1588:1588:1588) (1701:1701:1701))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1513:1513:1513) (1330:1330:1330))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (PORT sload (1460:1460:1460) (1597:1597:1597))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1638:1638:1638) (1517:1517:1517))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (PORT sload (1285:1285:1285) (1355:1355:1355))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (350:350:350) (414:414:414))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (964:964:964) (863:863:863))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (610:610:610) (563:563:563))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (563:563:563) (556:556:556))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (611:611:611) (566:566:566))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (342:342:342) (402:402:402))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (608:608:608) (566:566:566))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (554:554:554) (547:547:547))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (608:608:608) (566:566:566))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~18)
- (DELAY
- (ABSOLUTE
- (PORT datab (575:575:575) (560:560:560))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~20)
- (DELAY
- (ABSOLUTE
- (PORT dataa (341:341:341) (401:401:401))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (278:278:278) (341:341:341))
- (PORT datad (380:380:380) (465:465:465))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1685:1685:1685) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (583:583:583) (581:581:581))
- (PORT datab (637:637:637) (598:598:598))
- (PORT datac (514:514:514) (502:502:502))
- (PORT datad (504:504:504) (488:488:488))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (840:840:840) (763:763:763))
- (PORT datab (630:630:630) (594:594:594))
- (PORT datac (554:554:554) (549:549:549))
- (PORT datad (534:534:534) (525:525:525))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (893:893:893) (812:812:812))
- (PORT datab (894:894:894) (795:795:795))
- (PORT datac (886:886:886) (787:787:787))
- (PORT datad (797:797:797) (716:716:716))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datac (285:285:285) (351:351:351))
- (PORT datad (380:380:380) (465:465:465))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (852:852:852) (680:680:680))
- (PORT datab (832:832:832) (728:728:728))
- (PORT datac (742:742:742) (597:597:597))
- (PORT datad (249:249:249) (256:256:256))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (794:794:794) (637:637:637))
- (PORT datab (268:268:268) (275:275:275))
- (PORT datac (735:735:735) (587:587:587))
- (PORT datad (746:746:746) (623:623:623))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (271:271:271) (283:283:283))
- (PORT datab (826:826:826) (704:704:704))
- (PORT datac (521:521:521) (459:459:459))
- (PORT datad (446:446:446) (387:387:387))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (482:482:482) (426:426:426))
- (PORT datab (539:539:539) (447:447:447))
- (PORT datac (227:227:227) (242:242:242))
- (PORT datad (789:789:789) (672:672:672))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (865:865:865) (733:733:733))
- (PORT datab (832:832:832) (728:728:728))
- (PORT datac (227:227:227) (242:242:242))
- (PORT datad (466:466:466) (389:389:389))
- (IOPATH dataa combout (392:392:392) (419:419:419))
- (IOPATH datab combout (393:393:393) (431:431:431))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (845:845:845) (669:669:669))
- (PORT datab (267:267:267) (273:273:273))
- (PORT datac (521:521:521) (458:458:458))
- (PORT datad (788:788:788) (670:670:670))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (743:743:743) (611:611:611))
- (PORT datab (528:528:528) (432:432:432))
- (PORT datac (427:427:427) (368:368:368))
- (PORT datad (503:503:503) (452:452:452))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (486:486:486) (431:431:431))
- (PORT datab (267:267:267) (274:274:274))
- (PORT datac (521:521:521) (459:459:459))
- (PORT datad (787:787:787) (670:670:670))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (271:271:271) (283:283:283))
- (PORT datab (751:751:751) (619:619:619))
- (PORT datac (227:227:227) (242:242:242))
- (PORT datad (785:785:785) (666:666:666))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (546:546:546) (496:496:496))
- (PORT datac (821:821:821) (720:720:720))
- (PORT datad (301:301:301) (357:357:357))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (605:605:605) (588:588:588))
- (PORT datad (236:236:236) (248:248:248))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (1321:1321:1321) (1146:1146:1146))
- (PORT datad (1229:1229:1229) (1083:1083:1083))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1685:1685:1685) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (785:785:785) (714:714:714))
- (PORT datab (925:925:925) (845:845:845))
- (PORT datac (821:821:821) (694:694:694))
- (PORT datad (790:790:790) (689:689:689))
- (IOPATH dataa combout (435:435:435) (444:444:444))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (322:322:322) (381:381:381))
- (PORT datab (321:321:321) (376:376:376))
- (PORT datac (1022:1022:1022) (988:988:988))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (905:905:905) (763:763:763))
- (PORT datab (268:268:268) (275:275:275))
- (PORT datac (486:486:486) (413:413:413))
- (PORT datad (1115:1115:1115) (916:916:916))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (740:740:740) (600:600:600))
- (PORT datab (268:268:268) (275:275:275))
- (PORT datac (225:225:225) (241:241:241))
- (PORT datad (1114:1114:1114) (915:915:915))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datab combout (377:377:377) (380:380:380))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (294:294:294) (306:306:306))
- (PORT datab (727:727:727) (601:601:601))
- (PORT datac (1012:1012:1012) (820:820:820))
- (PORT datad (822:822:822) (734:734:734))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1055:1055:1055) (858:858:858))
- (PORT datab (473:473:473) (408:408:408))
- (PORT datac (224:224:224) (239:239:239))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1069:1069:1069) (876:876:876))
- (PORT datab (267:267:267) (274:274:274))
- (PORT datac (1454:1454:1454) (1280:1280:1280))
- (PORT datad (518:518:518) (456:456:456))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (746:746:746) (615:615:615))
- (PORT datab (265:265:265) (272:272:272))
- (PORT datac (1026:1026:1026) (837:837:837))
- (PORT datad (831:831:831) (738:738:738))
- (IOPATH dataa combout (420:420:420) (371:371:371))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (272:272:272) (283:283:283))
- (PORT datab (540:540:540) (450:450:450))
- (PORT datac (848:848:848) (722:722:722))
- (PORT datad (1116:1116:1116) (917:917:917))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (270:270:270) (281:281:281))
- (PORT datab (265:265:265) (272:272:272))
- (PORT datac (438:438:438) (385:385:385))
- (PORT datad (1116:1116:1116) (917:917:917))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (867:867:867) (727:727:727))
- (PORT datac (513:513:513) (498:498:498))
- (PORT datad (823:823:823) (737:737:737))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (486:486:486) (420:420:420))
- (PORT datad (824:824:824) (736:736:736))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT asdata (754:754:754) (837:837:837))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (363:363:363) (432:432:432))
- (PORT datab (806:806:806) (660:660:660))
- (PORT datad (757:757:757) (643:643:643))
- (IOPATH dataa combout (377:377:377) (380:380:380))
- (IOPATH datab combout (437:437:437) (451:451:451))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (271:271:271) (283:283:283))
- (PORT datab (267:267:267) (274:274:274))
- (PORT datac (823:823:823) (693:693:693))
- (PORT datad (764:764:764) (622:622:622))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (754:754:754) (617:617:617))
- (PORT datab (845:845:845) (707:707:707))
- (PORT datac (803:803:803) (669:669:669))
- (PORT datad (229:229:229) (236:236:236))
- (IOPATH dataa combout (420:420:420) (371:371:371))
- (IOPATH datab combout (423:423:423) (386:386:386))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (820:820:820) (664:664:664))
- (PORT datab (268:268:268) (275:275:275))
- (PORT datac (225:225:225) (240:240:240))
- (PORT datad (786:786:786) (668:668:668))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datab combout (377:377:377) (380:380:380))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (882:882:882) (735:735:735))
- (PORT datab (267:267:267) (274:274:274))
- (PORT datac (756:756:756) (672:672:672))
- (PORT datad (763:763:763) (645:645:645))
- (IOPATH dataa combout (435:435:435) (444:444:444))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (271:271:271) (283:283:283))
- (PORT datab (854:854:854) (756:756:756))
- (PORT datac (437:437:437) (372:372:372))
- (PORT datad (762:762:762) (644:644:644))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (269:269:269) (281:281:281))
- (PORT datab (741:741:741) (597:597:597))
- (PORT datac (809:809:809) (675:675:675))
- (PORT datad (786:786:786) (669:669:669))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (484:484:484) (415:415:415))
- (PORT datab (537:537:537) (444:444:444))
- (PORT datac (225:225:225) (240:240:240))
- (PORT datad (786:786:786) (669:669:669))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (884:884:884) (737:737:737))
- (PORT datab (592:592:592) (552:552:552))
- (PORT datac (226:226:226) (241:241:241))
- (PORT datad (764:764:764) (646:646:646))
- (IOPATH dataa combout (435:435:435) (444:444:444))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (361:361:361) (423:423:423))
- (PORT datac (796:796:796) (711:711:711))
- (PORT datad (819:819:819) (679:679:679))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (832:832:832) (664:664:664))
- (PORT datad (530:530:530) (508:508:508))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (961:961:961) (881:881:881))
- (PORT datac (984:984:984) (930:930:930))
- (PORT datad (922:922:922) (867:867:867))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (362:362:362) (430:430:430))
- (PORT datab (317:317:317) (371:371:371))
- (PORT datac (758:758:758) (633:633:633))
- (PORT datad (807:807:807) (652:652:652))
- (IOPATH dataa combout (394:394:394) (419:419:419))
- (IOPATH datab combout (437:437:437) (431:431:431))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (1218:1218:1218) (1080:1080:1080))
- (PORT datac (924:924:924) (862:862:862))
- (PORT datad (746:746:746) (605:605:605))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (844:844:844) (754:754:754))
- (PORT datab (346:346:346) (404:404:404))
- (PORT datac (305:305:305) (373:373:373))
- (PORT datad (305:305:305) (363:363:363))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (749:749:749) (636:636:636))
- (PORT datab (853:853:853) (787:787:787))
- (PORT datac (731:731:731) (612:612:612))
- (PORT datad (233:233:233) (243:243:243))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datab combout (384:384:384) (386:386:386))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (1135:1135:1135) (1013:1013:1013))
- (PORT datad (1227:1227:1227) (1081:1081:1081))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1685:1685:1685) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (786:786:786) (719:719:719))
- (PORT datab (925:925:925) (846:846:846))
- (PORT datac (822:822:822) (694:694:694))
- (PORT datad (790:790:790) (688:688:688))
- (IOPATH dataa combout (435:435:435) (444:444:444))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (526:526:526) (504:504:504))
- (PORT datac (1022:1022:1022) (987:987:987))
- (PORT datad (278:278:278) (333:333:333))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (326:326:326) (386:386:386))
- (PORT datab (1044:1044:1044) (975:975:975))
- (PORT datac (276:276:276) (339:339:339))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT asdata (741:741:741) (810:810:810))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (360:360:360) (428:428:428))
- (PORT datab (811:811:811) (665:665:665))
- (PORT datad (750:750:750) (636:636:636))
- (IOPATH dataa combout (377:377:377) (380:380:380))
- (IOPATH datab combout (437:437:437) (451:451:451))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (982:982:982) (928:928:928))
- (PORT datad (899:899:899) (839:839:839))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (311:311:311) (331:331:331))
- (PORT datab (320:320:320) (374:374:374))
- (PORT datac (546:546:546) (539:539:539))
- (PORT datad (263:263:263) (277:277:277))
- (IOPATH dataa combout (435:435:435) (449:449:449))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_x\[10\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (846:846:846) (724:724:724))
- (PORT datad (515:515:515) (459:459:459))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|always0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (910:910:910) (804:804:804))
- (PORT datac (1156:1156:1156) (979:979:979))
- (PORT datad (901:901:901) (786:786:786))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|always0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (269:269:269) (280:280:280))
- (PORT datab (916:916:916) (782:782:782))
- (PORT datac (1470:1470:1470) (1249:1249:1249))
- (PORT datad (256:256:256) (268:268:268))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[9\]\~14)
- (DELAY
- (ABSOLUTE
- (PORT datac (1157:1157:1157) (980:980:980))
- (PORT datad (869:869:869) (760:760:760))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (288:288:288) (308:308:308))
- (PORT datab (282:282:282) (294:294:294))
- (PORT datac (836:836:836) (721:721:721))
- (PORT datad (836:836:836) (720:720:720))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (384:384:384) (386:386:386))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (913:913:913) (799:799:799))
- (PORT datac (830:830:830) (735:735:735))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (283:283:283))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[10\]\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (270:270:270) (282:282:282))
- (PORT datab (1426:1426:1426) (1173:1173:1173))
- (PORT datad (557:557:557) (496:496:496))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (393:393:393) (431:431:431))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[4\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (1321:1321:1321) (1146:1146:1146))
- (PORT datac (1136:1136:1136) (1013:1013:1013))
- (IOPATH datab combout (437:437:437) (451:451:451))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (866:866:866) (734:734:734))
- (PORT datab (924:924:924) (844:844:844))
- (PORT datad (791:791:791) (689:689:689))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (323:323:323) (382:382:382))
- (PORT datac (1022:1022:1022) (987:987:987))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan10\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (895:895:895) (768:768:768))
- (PORT datab (842:842:842) (721:721:721))
- (PORT datac (509:509:509) (465:465:465))
- (PORT datad (518:518:518) (466:466:466))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~22)
- (DELAY
- (ABSOLUTE
- (PORT datac (847:847:847) (725:725:725))
- (PORT datad (477:477:477) (407:407:407))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~23)
- (DELAY
- (ABSOLUTE
- (PORT dataa (559:559:559) (507:507:507))
- (PORT datab (269:269:269) (276:276:276))
- (PORT datac (511:511:511) (467:467:467))
- (PORT datad (517:517:517) (452:452:452))
- (IOPATH dataa combout (373:373:373) (380:380:380))
- (IOPATH datab combout (377:377:377) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan14\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (587:587:587) (503:503:503))
- (PORT datad (477:477:477) (406:406:406))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~24)
- (DELAY
- (ABSOLUTE
- (PORT dataa (892:892:892) (765:765:765))
- (PORT datab (267:267:267) (274:274:274))
- (PORT datac (509:509:509) (465:465:465))
- (PORT datad (519:519:519) (466:466:466))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~25)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (296:296:296))
- (PORT datab (819:819:819) (640:640:640))
- (PORT datac (226:226:226) (242:242:242))
- (PORT datad (232:232:232) (243:243:243))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[5\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (362:362:362) (424:424:424))
- (PORT datac (340:340:340) (426:426:426))
- (PORT datad (551:551:551) (544:544:544))
- (IOPATH datab combout (437:437:437) (451:451:451))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (892:892:892) (764:764:764))
- (PORT datab (790:790:790) (674:674:674))
- (PORT datac (972:972:972) (762:762:762))
- (PORT datad (784:784:784) (717:717:717))
- (IOPATH dataa combout (435:435:435) (449:449:449))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (322:322:322) (377:377:377))
- (PORT datac (991:991:991) (941:941:941))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[4\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (936:936:936) (843:843:843))
- (PORT datad (891:891:891) (820:820:820))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (323:323:323) (383:383:383))
- (PORT datab (1000:1000:1000) (948:948:948))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1134:1134:1134) (980:980:980))
- (PORT datab (873:873:873) (766:766:766))
- (PORT datac (1188:1188:1188) (1086:1086:1086))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[7\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (377:377:377) (444:444:444))
- (PORT datac (332:332:332) (417:417:417))
- (PORT datad (556:556:556) (550:550:550))
- (IOPATH datab combout (437:437:437) (451:451:451))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (630:630:630) (594:594:594))
- (PORT datab (350:350:350) (408:408:408))
- (PORT datac (1213:1213:1213) (1094:1094:1094))
- (PORT datad (249:249:249) (257:257:257))
- (IOPATH dataa combout (414:414:414) (450:450:450))
- (IOPATH datab combout (423:423:423) (453:453:453))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (980:980:980) (902:902:902))
- (PORT datab (1218:1218:1218) (1081:1081:1081))
- (PORT datac (548:548:548) (541:541:541))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~37)
- (DELAY
- (ABSOLUTE
- (PORT dataa (883:883:883) (744:744:744))
- (PORT datab (559:559:559) (500:500:500))
- (PORT datac (852:852:852) (730:730:730))
- (PORT datad (233:233:233) (244:244:244))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (2044:2044:2044) (2012:2012:2012))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (438:438:438) (363:363:363))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1096:1096:1096) (918:918:918))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT dataa (858:858:858) (727:727:727))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (465:465:465) (385:385:385))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datab (1152:1152:1152) (942:942:942))
- (IOPATH datab combout (437:437:437) (425:425:425))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (227:227:227) (235:235:235))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT dataa (271:271:271) (283:283:283))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_clk_p\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2488:2488:2488) (2436:2436:2436))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_clk_n\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2488:2488:2488) (2436:2436:2436))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_p\[0\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2498:2498:2498) (2446:2446:2446))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_p\[1\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2498:2498:2498) (2446:2446:2446))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_p\[2\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2498:2498:2498) (2446:2446:2446))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_n\[0\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2488:2488:2488) (2436:2436:2436))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_n\[1\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2508:2508:2508) (2456:2456:2456))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_n\[2\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2498:2498:2498) (2446:2446:2446))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (422:422:422) (506:506:506))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (415:415:415) (429:429:429))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~1)
- (DELAY
- (ABSOLUTE
- (PORT datad (285:285:285) (344:344:344))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT sclr (994:994:994) (1127:1127:1127))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (321:321:321) (375:375:375))
- (PORT datad (285:285:285) (344:344:344))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT sclr (994:994:994) (1127:1127:1127))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (323:323:323) (382:382:382))
- (PORT datad (380:380:380) (465:465:465))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (378:378:378) (463:463:463))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (423:423:423) (508:508:508))
- (PORT datac (280:280:280) (343:343:343))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datac (284:284:284) (350:350:350))
- (PORT datad (381:381:381) (466:466:466))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (323:323:323) (378:378:378))
- (PORT datad (379:379:379) (463:463:463))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (320:320:320) (374:374:374))
- (PORT datad (379:379:379) (464:464:464))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_clk\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (788:788:788) (813:813:813))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[1\]\~clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (2044:2044:2044) (2012:2012:2012))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1603:1603:1603) (1574:1574:1574))
- (PORT D (1225:1225:1225) (1201:1201:1201))
- (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (513:513:513))
- (HOLD D (negedge ENA) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1603:1603:1603) (1575:1575:1575))
- (PORT d (1255:1255:1255) (1235:1235:1235))
- (IOPATH (posedge clk) q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (104:104:104))
- (HOLD d (posedge clk) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1761:1761:1761) (1746:1746:1746))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (491:491:491) (503:503:503))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (491:491:491))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1606:1606:1606) (1577:1577:1577))
- (PORT D (808:808:808) (887:887:887))
- (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (513:513:513))
- (HOLD D (negedge ENA) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1606:1606:1606) (1578:1578:1578))
- (PORT d (884:884:884) (970:970:970))
- (IOPATH (posedge clk) q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (104:104:104))
- (HOLD d (posedge clk) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1764:1764:1764) (1749:1749:1749))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (491:491:491) (503:503:503))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (491:491:491))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (347:347:347) (404:404:404))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_rst_n\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (748:748:748) (773:773:773))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
- (DELAY
- (ABSOLUTE
- (PORT clk (1311:1311:1311) (1435:1435:1435))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (4641:4641:4641) (4614:4614:4614))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE rst_n\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (3981:3981:3981) (3957:3957:3957))
- (PORT datab (318:318:318) (372:372:372))
- (PORT datad (652:652:652) (760:760:760))
- (IOPATH dataa combout (374:374:374) (392:392:392))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE rst_n\~0clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (1744:1744:1744) (1558:1558:1558))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (348:348:348) (411:411:411))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (347:347:347) (406:406:406))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (339:339:339) (394:394:394))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (339:339:339) (395:395:395))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (373:373:373) (436:436:436))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (350:350:350) (413:413:413))
- (PORT datab (347:347:347) (405:405:405))
- (PORT datac (304:304:304) (370:370:370))
- (PORT datad (306:306:306) (366:366:366))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (365:365:365) (420:420:420))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~18)
- (DELAY
- (ABSOLUTE
- (PORT datab (373:373:373) (431:431:431))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~20)
- (DELAY
- (ABSOLUTE
- (PORT datab (369:369:369) (427:427:427))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~22)
- (DELAY
- (ABSOLUTE
- (PORT dataa (373:373:373) (435:435:435))
- (IOPATH dataa combout (435:435:435) (444:444:444))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[11\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (374:374:374) (438:438:438))
- (PORT datab (372:372:372) (429:429:429))
- (PORT datac (330:330:330) (398:398:398))
- (PORT datad (327:327:327) (386:386:386))
- (IOPATH dataa combout (349:349:349) (377:377:377))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1087:1087:1087) (904:904:904))
- (PORT datab (905:905:905) (788:788:788))
- (PORT datac (900:900:900) (772:772:772))
- (PORT datad (1117:1117:1117) (923:923:923))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (655:655:655) (609:609:609))
- (PORT datab (1236:1236:1236) (1078:1078:1078))
- (PORT datac (567:567:567) (540:540:540))
- (PORT datad (561:561:561) (539:539:539))
- (IOPATH dataa combout (420:420:420) (371:371:371))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (303:303:303) (320:320:320))
- (PORT datab (270:270:270) (277:277:277))
- (PORT datac (257:257:257) (276:276:276))
- (PORT datad (752:752:752) (608:608:608))
- (IOPATH dataa combout (374:374:374) (392:392:392))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (303:303:303) (320:320:320))
- (PORT datab (300:300:300) (310:310:310))
- (PORT datac (229:229:229) (244:244:244))
- (PORT datad (752:752:752) (608:608:608))
- (IOPATH dataa combout (374:374:374) (392:392:392))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan4\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (1233:1233:1233) (1075:1075:1075))
- (PORT datac (566:566:566) (539:539:539))
- (PORT datad (561:561:561) (539:539:539))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (591:591:591) (582:582:582))
- (PORT datab (651:651:651) (615:615:615))
- (PORT datac (599:599:599) (581:581:581))
- (PORT datad (740:740:740) (597:597:597))
- (IOPATH dataa combout (435:435:435) (444:444:444))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (605:605:605) (556:556:556))
- (PORT datab (858:858:858) (750:750:750))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab cout (497:497:497) (381:381:381))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (605:605:605) (556:556:556))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (550:550:550) (531:531:531))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (623:623:623) (581:581:581))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~9)
- (DELAY
- (ABSOLUTE
- (PORT datab (1229:1229:1229) (1070:1070:1070))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~10)
- (DELAY
- (ABSOLUTE
- (PORT datab (622:622:622) (579:579:579))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (564:564:564) (543:543:543))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (649:649:649) (602:602:602))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (632:632:632) (586:586:586))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~18)
- (DELAY
- (ABSOLUTE
- (PORT datab (628:628:628) (578:578:578))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (905:905:905) (779:779:779))
- (PORT datab (561:561:561) (502:502:502))
- (PORT datac (511:511:511) (467:467:467))
- (PORT datad (517:517:517) (464:464:464))
- (IOPATH dataa combout (420:420:420) (371:371:371))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (592:592:592) (584:584:584))
- (PORT datac (600:600:600) (583:583:583))
- (IOPATH dataa combout (435:435:435) (444:444:444))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (948:948:948) (802:802:802))
- (PORT datac (859:859:859) (751:751:751))
- (PORT datad (1120:1120:1120) (926:926:926))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (484:484:484) (417:417:417))
- (PORT datab (368:368:368) (394:394:394))
- (PORT datad (1173:1173:1173) (1001:1001:1001))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1639:1639:1639))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (272:272:272) (284:284:284))
- (PORT datab (1427:1427:1427) (1174:1174:1174))
- (PORT datad (556:556:556) (495:495:495))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (393:393:393) (431:431:431))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (746:746:746) (616:616:616))
- (PORT datab (367:367:367) (393:393:393))
- (PORT datad (1174:1174:1174) (1001:1001:1001))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1639:1639:1639))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (347:347:347) (409:409:409))
- (PORT datab (345:345:345) (403:403:403))
- (PORT datac (544:544:544) (511:511:511))
- (PORT datad (304:304:304) (362:362:362))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (748:748:748) (635:635:635))
- (PORT datab (364:364:364) (390:390:390))
- (PORT datad (1179:1179:1179) (1007:1007:1007))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1639:1639:1639))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (536:536:536) (437:437:437))
- (PORT datab (362:362:362) (388:388:388))
- (PORT datad (1182:1182:1182) (1011:1011:1011))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1639:1639:1639))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (804:804:804) (668:668:668))
- (PORT datab (297:297:297) (307:307:307))
- (PORT datac (307:307:307) (374:374:374))
- (PORT datad (307:307:307) (366:366:366))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (337:337:337) (392:392:392))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (617:617:617) (537:537:537))
- (PORT datab (268:268:268) (275:275:275))
- (PORT datad (1393:1393:1393) (1142:1142:1142))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (471:471:471) (407:407:407))
- (PORT datab (362:362:362) (388:388:388))
- (PORT datad (1181:1181:1181) (1010:1010:1010))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1639:1639:1639))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (360:360:360) (427:427:427))
- (PORT datab (371:371:371) (429:429:429))
- (PORT datac (558:558:558) (529:529:529))
- (PORT datad (310:310:310) (370:370:370))
- (IOPATH dataa combout (349:349:349) (371:371:371))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (277:277:277) (286:286:286))
- (PORT datac (228:228:228) (243:243:243))
- (PORT datad (1180:1180:1180) (1008:1008:1008))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (807:807:807) (652:652:652))
- (PORT datab (367:367:367) (393:393:393))
- (PORT datad (1175:1175:1175) (1002:1002:1002))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1639:1639:1639))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (482:482:482) (412:412:412))
- (PORT datab (368:368:368) (394:394:394))
- (PORT datad (1172:1172:1172) (1000:1000:1000))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1639:1639:1639))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (610:610:610) (564:564:564))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (519:519:519) (463:463:463))
- (PORT datab (477:477:477) (402:402:402))
- (PORT datad (1177:1177:1177) (1005:1005:1005))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1639:1639:1639))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~22)
- (DELAY
- (ABSOLUTE
- (PORT datad (298:298:298) (354:354:354))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (624:624:624) (545:545:545))
- (PORT datab (267:267:267) (274:274:274))
- (PORT datad (1386:1386:1386) (1136:1136:1136))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[11\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (874:874:874) (775:775:775))
- (PORT datab (914:914:914) (801:801:801))
- (PORT datac (582:582:582) (557:557:557))
- (PORT datad (535:535:535) (523:523:523))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (769:769:769) (645:645:645))
- (PORT datac (813:813:813) (740:740:740))
- (PORT datad (235:235:235) (246:246:246))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (576:576:576) (494:494:494))
- (PORT datab (649:649:649) (614:614:614))
- (PORT datac (598:598:598) (580:580:580))
- (PORT datad (739:739:739) (596:596:596))
- (IOPATH dataa combout (350:350:350) (371:371:371))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (752:752:752) (640:640:640))
- (PORT datab (267:267:267) (275:275:275))
- (PORT datac (246:246:246) (261:261:261))
- (PORT datad (229:229:229) (237:237:237))
- (IOPATH dataa combout (394:394:394) (400:400:400))
- (IOPATH datab combout (400:400:400) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (559:559:559) (508:508:508))
- (PORT datab (757:757:757) (638:638:638))
- (PORT datac (510:510:510) (466:466:466))
- (PORT datad (518:518:518) (453:453:453))
- (IOPATH dataa combout (349:349:349) (371:371:371))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|always0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (557:557:557) (508:508:508))
- (PORT datab (560:560:560) (501:501:501))
- (PORT datac (856:856:856) (734:734:734))
- (PORT datad (234:234:234) (244:244:244))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (491:491:491) (433:433:433))
- (PORT datab (496:496:496) (435:435:435))
- (PORT datac (1492:1492:1492) (1258:1258:1258))
- (PORT datad (866:866:866) (737:737:737))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~17)
- (DELAY
- (ABSOLUTE
- (PORT dataa (561:561:561) (510:510:510))
- (PORT datac (509:509:509) (465:465:465))
- (PORT datad (520:520:520) (455:455:455))
- (IOPATH dataa combout (373:373:373) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~34)
- (DELAY
- (ABSOLUTE
- (PORT dataa (884:884:884) (745:745:745))
- (PORT datab (560:560:560) (501:501:501))
- (PORT datac (854:854:854) (732:732:732))
- (PORT datad (228:228:228) (235:235:235))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (559:559:559) (508:508:508))
- (PORT datad (519:519:519) (453:453:453))
- (IOPATH dataa combout (374:374:374) (392:392:392))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (897:897:897) (770:770:770))
- (PORT datab (558:558:558) (498:498:498))
- (PORT datac (509:509:509) (466:466:466))
- (PORT datad (226:226:226) (234:234:234))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (384:384:384) (386:386:386))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (922:922:922) (778:778:778))
- (PORT datac (1361:1361:1361) (1088:1088:1088))
- (PORT datad (822:822:822) (703:703:703))
- (IOPATH dataa combout (374:374:374) (392:392:392))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~18)
- (DELAY
- (ABSOLUTE
- (PORT dataa (862:862:862) (751:751:751))
- (PORT datab (275:275:275) (284:284:284))
- (PORT datac (816:816:816) (698:698:698))
- (PORT datad (245:245:245) (259:259:259))
- (IOPATH dataa combout (392:392:392) (419:419:419))
- (IOPATH datab combout (393:393:393) (431:431:431))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~20)
- (DELAY
- (ABSOLUTE
- (PORT datad (530:530:530) (508:508:508))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (913:913:913) (807:807:807))
- (PORT datab (892:892:892) (766:766:766))
- (PORT datac (1152:1152:1152) (975:975:975))
- (PORT datad (906:906:906) (792:792:792))
- (IOPATH dataa combout (392:392:392) (419:419:419))
- (IOPATH datab combout (393:393:393) (431:431:431))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (909:909:909) (770:770:770))
- (PORT datab (898:898:898) (771:771:771))
- (PORT datac (1469:1469:1469) (1249:1249:1249))
- (PORT datad (226:226:226) (233:233:233))
- (IOPATH dataa combout (350:350:350) (371:371:371))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan14\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (912:912:912) (805:805:805))
- (PORT datac (840:840:840) (733:733:733))
- (PORT datad (902:902:902) (788:788:788))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~19)
- (DELAY
- (ABSOLUTE
- (PORT dataa (861:861:861) (751:751:751))
- (PORT datab (549:549:549) (456:456:456))
- (PORT datac (708:708:708) (560:560:560))
- (PORT datad (864:864:864) (735:735:735))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (423:423:423) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~20)
- (DELAY
- (ABSOLUTE
- (PORT datab (283:283:283) (295:295:295))
- (PORT datac (816:816:816) (699:699:699))
- (PORT datad (234:234:234) (245:245:245))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1141:1141:1141) (937:937:937))
- (PORT datab (855:855:855) (725:725:725))
- (PORT datac (285:285:285) (351:351:351))
- (PORT datad (286:286:286) (344:344:344))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datad (1231:1231:1231) (1086:1086:1086))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1685:1685:1685) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT asdata (1615:1615:1615) (1453:1453:1453))
- (PORT clrn (1685:1685:1685) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (615:615:615) (570:570:570))
- (PORT datab (349:349:349) (407:407:407))
- (PORT datac (307:307:307) (373:373:373))
- (PORT datad (318:318:318) (383:383:383))
- (IOPATH dataa combout (392:392:392) (419:419:419))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (269:269:269) (280:280:280))
- (PORT datab (299:299:299) (309:309:309))
- (PORT datac (227:227:227) (242:242:242))
- (PORT datad (302:302:302) (361:361:361))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[1\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1244:1244:1244) (1043:1043:1043))
- (PORT datab (1460:1460:1460) (1204:1204:1204))
- (PORT datac (287:287:287) (353:353:353))
- (PORT datad (791:791:791) (684:684:684))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[2\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1245:1245:1245) (1044:1044:1044))
- (PORT datab (854:854:854) (724:724:724))
- (PORT datac (1416:1416:1416) (1170:1170:1170))
- (PORT datad (285:285:285) (343:343:343))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (1320:1320:1320) (1144:1144:1144))
- (PORT datac (1136:1136:1136) (1014:1014:1014))
- (PORT datad (1230:1230:1230) (1084:1084:1084))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datad (228:228:228) (235:235:235))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1685:1685:1685) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (558:558:558) (545:545:545))
- (PORT datab (928:928:928) (806:806:806))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (364:364:364) (424:424:424))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (364:364:364) (419:419:419))
- (IOPATH datab combout (437:437:437) (451:451:451))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (541:541:541) (527:527:527))
- (PORT datab (924:924:924) (845:845:845))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (627:627:627) (578:578:578))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (541:541:541) (518:518:518))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (545:545:545) (494:494:494))
- (PORT datab (493:493:493) (431:431:431))
- (PORT datac (225:225:225) (241:241:241))
- (PORT datad (446:446:446) (386:386:386))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (423:423:423) (380:380:380))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (864:864:864) (732:732:732))
- (PORT datab (920:920:920) (840:840:840))
- (PORT datad (790:790:790) (688:688:688))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1685:1685:1685) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (530:530:530) (472:472:472))
- (PORT datab (270:270:270) (277:277:277))
- (PORT datac (814:814:814) (720:720:720))
- (PORT datad (790:790:790) (688:688:688))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (798:798:798) (723:723:723))
- (PORT datab (477:477:477) (411:411:411))
- (PORT datac (807:807:807) (667:667:667))
- (PORT datad (479:479:479) (406:406:406))
- (IOPATH dataa combout (374:374:374) (392:392:392))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (1319:1319:1319) (1143:1143:1143))
- (PORT datac (1138:1138:1138) (1016:1016:1016))
- (PORT datad (1233:1233:1233) (1088:1088:1088))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1685:1685:1685) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (1320:1320:1320) (1145:1145:1145))
- (PORT datac (1137:1137:1137) (1015:1015:1015))
- (PORT datad (1231:1231:1231) (1085:1085:1085))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1685:1685:1685) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (530:530:530) (472:472:472))
- (PORT datab (271:271:271) (278:278:278))
- (PORT datac (862:862:862) (755:755:755))
- (PORT datad (790:790:790) (688:688:688))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (850:850:850) (705:705:705))
- (PORT datab (269:269:269) (276:276:276))
- (PORT datac (764:764:764) (687:687:687))
- (PORT datad (445:445:445) (384:384:384))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT asdata (1516:1516:1516) (1390:1390:1390))
- (PORT clrn (1686:1686:1686) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (545:545:545) (495:495:495))
- (PORT datab (528:528:528) (432:432:432))
- (PORT datac (474:474:474) (393:393:393))
- (PORT datad (868:868:868) (766:766:766))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (584:584:584) (582:582:582))
- (PORT datab (636:636:636) (598:598:598))
- (PORT datac (514:514:514) (502:502:502))
- (PORT datad (504:504:504) (488:488:488))
- (IOPATH dataa combout (420:420:420) (450:450:450))
- (IOPATH datab combout (423:423:423) (453:453:453))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (842:842:842) (750:750:750))
- (PORT datad (471:471:471) (396:396:396))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (534:534:534) (436:436:436))
- (PORT datab (472:472:472) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab cout (497:497:497) (381:381:381))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (797:797:797) (645:645:645))
- (PORT datab (537:537:537) (447:447:447))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1239:1239:1239) (1038:1038:1038))
- (PORT datac (1412:1412:1412) (1167:1167:1167))
- (PORT datad (792:792:792) (684:684:684))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg1)
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (279:279:279) (334:334:334))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2)
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (PORT sclr (1345:1345:1345) (1474:1474:1474))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (277:277:277) (291:291:291))
- (PORT datab (880:880:880) (779:779:779))
- (PORT datac (604:604:604) (587:587:587))
- (PORT datad (473:473:473) (398:398:398))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (271:271:271) (282:282:282))
- (PORT datab (925:925:925) (845:845:845))
- (PORT datac (768:768:768) (709:709:709))
- (PORT datad (790:790:790) (688:688:688))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (483:483:483) (416:416:416))
- (PORT datad (227:227:227) (234:234:234))
- (IOPATH dataa combout (435:435:435) (444:444:444))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (PORT sclr (1345:1345:1345) (1474:1474:1474))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (583:583:583) (581:581:581))
- (PORT datab (637:637:637) (599:599:599))
- (PORT datac (514:514:514) (502:502:502))
- (PORT datad (504:504:504) (488:488:488))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (536:536:536) (440:440:440))
- (PORT datab (881:881:881) (779:779:779))
- (PORT datac (597:597:597) (575:575:575))
- (PORT datad (466:466:466) (389:389:389))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (788:788:788) (659:659:659))
- (PORT datab (340:340:340) (395:395:395))
- (PORT datad (779:779:779) (640:640:640))
- (IOPATH dataa combout (435:435:435) (419:419:419))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (727:727:727) (591:591:591))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (844:844:844) (780:780:780))
- (PORT datab (630:630:630) (579:579:579))
- (PORT datac (581:581:581) (550:550:550))
- (PORT datad (531:531:531) (509:509:509))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (658:658:658) (611:611:611))
- (PORT datab (626:626:626) (583:583:583))
- (PORT datac (1194:1194:1194) (1045:1045:1045))
- (PORT datad (226:226:226) (233:233:233))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH datab combout (393:393:393) (431:431:431))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg1)
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2)
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT asdata (701:701:701) (762:762:762))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1610:1610:1610) (1507:1507:1507))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (PORT sload (1671:1671:1671) (1859:1859:1859))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[7\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (1319:1319:1319) (1144:1144:1144))
- (PORT datad (1232:1232:1232) (1087:1087:1087))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1685:1685:1685) (1640:1640:1640))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (866:866:866) (734:734:734))
- (PORT datab (923:923:923) (843:843:843))
- (PORT datac (793:793:793) (692:692:692))
- (PORT datad (790:790:790) (689:689:689))
- (IOPATH dataa combout (435:435:435) (444:444:444))
- (IOPATH datab combout (384:384:384) (386:386:386))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (668:668:668) (543:543:543))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1613:1613:1613) (1510:1510:1510))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (PORT sload (1671:1671:1671) (1859:1859:1859))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (361:361:361) (429:429:429))
- (PORT datab (374:374:374) (433:433:433))
- (PORT datac (525:525:525) (509:509:509))
- (PORT datad (236:236:236) (247:247:247))
- (IOPATH dataa combout (349:349:349) (377:377:377))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg1)
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1662:1662:1662))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1639:1639:1639))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (1353:1353:1353) (1160:1160:1160))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2)
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (814:814:814) (666:666:666))
- (PORT datab (1236:1236:1236) (1115:1115:1115))
- (PORT datac (1080:1080:1080) (944:944:944))
- (PORT datad (880:880:880) (802:802:802))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (319:319:319) (374:374:374))
- (PORT datac (1021:1021:1021) (987:987:987))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (330:330:330) (388:388:388))
- (PORT datac (1022:1022:1022) (987:987:987))
- (PORT datad (278:278:278) (333:333:333))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (331:331:331) (389:389:389))
- (PORT datac (1021:1021:1021) (987:987:987))
- (PORT datad (278:278:278) (333:333:333))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (323:323:323) (382:382:382))
- (PORT datac (1021:1021:1021) (987:987:987))
- (PORT datad (277:277:277) (332:332:332))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (322:322:322) (377:377:377))
- (PORT datac (1022:1022:1022) (988:988:988))
- (PORT datad (280:280:280) (335:335:335))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1664:1664:1664))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1265:1265:1265) (1211:1211:1211))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (PORT sload (1460:1460:1460) (1597:1597:1597))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (812:812:812) (663:663:663))
- (PORT datab (1239:1239:1239) (1118:1118:1118))
- (PORT datac (1077:1077:1077) (940:940:940))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1686:1686:1686) (1641:1641:1641))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (322:322:322) (382:382:382))
- (PORT datab (321:321:321) (375:375:375))
- (PORT datac (1022:1022:1022) (987:987:987))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (423:423:423) (507:507:507))
- (PORT datac (884:884:884) (808:808:808))
- (PORT datad (865:865:865) (788:788:788))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1645:1645:1645) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1606:1606:1606) (1577:1577:1577))
- (PORT D (1189:1189:1189) (1160:1160:1160))
- (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (513:513:513))
- (HOLD D (negedge ENA) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1606:1606:1606) (1578:1578:1578))
- (PORT d (899:899:899) (940:940:940))
- (IOPATH (posedge clk) q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (104:104:104))
- (HOLD d (posedge clk) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1764:1764:1764) (1749:1749:1749))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (491:491:491) (503:503:503))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (491:491:491))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (970:970:970) (838:838:838))
- (PORT datab (891:891:891) (765:765:765))
- (PORT datac (1154:1154:1154) (977:977:977))
- (IOPATH dataa combout (392:392:392) (419:419:419))
- (IOPATH datab combout (393:393:393) (431:431:431))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[9\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (271:271:271) (283:283:283))
- (PORT datab (914:914:914) (780:780:780))
- (PORT datac (1469:1469:1469) (1248:1248:1248))
- (PORT datad (256:256:256) (267:267:267))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (384:384:384) (386:386:386))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~35)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (297:297:297))
- (PORT datab (561:561:561) (501:501:501))
- (PORT datac (856:856:856) (735:735:735))
- (PORT datad (234:234:234) (244:244:244))
- (IOPATH dataa combout (420:420:420) (371:371:371))
- (IOPATH datab combout (423:423:423) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~36)
- (DELAY
- (ABSOLUTE
- (PORT dataa (290:290:290) (310:310:310))
- (PORT datab (900:900:900) (773:773:773))
- (PORT datac (1152:1152:1152) (975:975:975))
- (PORT datad (241:241:241) (255:255:255))
- (IOPATH dataa combout (420:420:420) (371:371:371))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~21)
- (DELAY
- (ABSOLUTE
- (PORT dataa (491:491:491) (432:432:432))
- (PORT datab (495:495:495) (434:434:434))
- (PORT datac (1491:1491:1491) (1257:1257:1257))
- (PORT datad (865:865:865) (737:737:737))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~26)
- (DELAY
- (ABSOLUTE
- (PORT dataa (873:873:873) (758:758:758))
- (PORT datab (822:822:822) (717:717:717))
- (PORT datac (231:231:231) (249:249:249))
- (PORT datad (451:451:451) (393:393:393))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_x\[11\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (1155:1155:1155) (960:960:960))
- (PORT datad (836:836:836) (721:721:721))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~27)
- (DELAY
- (ABSOLUTE
- (PORT dataa (287:287:287) (307:307:307))
- (PORT datab (284:284:284) (296:296:296))
- (PORT datac (226:226:226) (241:241:241))
- (PORT datad (822:822:822) (707:707:707))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[10\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (821:821:821) (681:681:681))
- (PORT datab (1404:1404:1404) (1153:1153:1153))
- (PORT datac (547:547:547) (523:523:523))
- (PORT datad (1627:1627:1627) (1298:1298:1298))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~29)
- (DELAY
- (ABSOLUTE
- (PORT dataa (910:910:910) (804:804:804))
- (PORT datab (890:890:890) (765:765:765))
- (PORT datac (1159:1159:1159) (982:982:982))
- (PORT datad (899:899:899) (785:785:785))
- (IOPATH dataa combout (420:420:420) (392:392:392))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~30)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1198:1198:1198) (1016:1016:1016))
- (PORT datab (899:899:899) (772:772:772))
- (PORT datac (1469:1469:1469) (1249:1249:1249))
- (PORT datad (853:853:853) (740:740:740))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~31)
- (DELAY
- (ABSOLUTE
- (PORT datab (266:266:266) (272:272:272))
- (PORT datac (227:227:227) (242:242:242))
- (PORT datad (250:250:250) (258:258:258))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[6\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (823:823:823) (684:684:684))
- (PORT datab (1381:1381:1381) (1133:1133:1133))
- (PORT datac (1359:1359:1359) (1117:1117:1117))
- (PORT datad (536:536:536) (511:511:511))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add5\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (551:551:551) (542:542:542))
- (PORT datab (741:741:741) (608:608:608))
- (PORT datac (549:549:549) (525:525:525))
- (PORT datad (540:540:540) (515:515:515))
- (IOPATH dataa combout (349:349:349) (371:371:371))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (576:576:576) (571:571:571))
- (PORT datab (378:378:378) (446:446:446))
- (PORT datac (339:339:339) (424:424:424))
- (PORT datad (552:552:552) (545:545:545))
- (IOPATH dataa combout (435:435:435) (449:449:449))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~28)
- (DELAY
- (ABSOLUTE
- (PORT dataa (870:870:870) (754:754:754))
- (PORT datab (821:821:821) (716:716:716))
- (PORT datac (236:236:236) (254:254:254))
- (PORT datad (448:448:448) (390:390:390))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (822:822:822) (683:683:683))
- (PORT datab (1382:1382:1382) (1133:1133:1133))
- (PORT datac (1359:1359:1359) (1118:1118:1118))
- (PORT datad (505:505:505) (493:493:493))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (362:362:362) (428:428:428))
- (PORT datab (380:380:380) (455:455:455))
- (PORT datac (316:316:316) (386:386:386))
- (PORT datad (524:524:524) (501:501:501))
- (IOPATH dataa combout (394:394:394) (419:419:419))
- (IOPATH datab combout (400:400:400) (431:431:431))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (378:378:378) (446:446:446))
- (PORT datac (340:340:340) (425:425:425))
- (PORT datad (551:551:551) (544:544:544))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1537:1537:1537) (1369:1369:1369))
- (PORT datab (935:935:935) (821:821:821))
- (PORT datac (790:790:790) (713:713:713))
- (PORT datad (828:828:828) (735:735:735))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1539:1539:1539) (1372:1372:1372))
- (PORT datab (934:934:934) (820:820:820))
- (PORT datac (792:792:792) (715:715:715))
- (PORT datad (827:827:827) (733:733:733))
- (IOPATH dataa combout (392:392:392) (419:419:419))
- (IOPATH datab combout (393:393:393) (431:431:431))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (573:573:573) (568:568:568))
- (PORT datab (380:380:380) (454:454:454))
- (PORT datac (334:334:334) (410:410:410))
- (PORT datad (556:556:556) (549:549:549))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1638:1638:1638) (1659:1659:1659))
- (PORT asdata (2045:2045:2045) (1818:1818:1818))
- (PORT clrn (1679:1679:1679) (1635:1635:1635))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (887:887:887) (784:784:784))
- (PORT datab (553:553:553) (528:528:528))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1492:1492:1492) (1334:1334:1334))
- (PORT datab (894:894:894) (800:800:800))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (575:575:575) (570:570:570))
- (PORT datab (383:383:383) (457:457:457))
- (PORT datac (334:334:334) (410:410:410))
- (PORT datad (554:554:554) (547:547:547))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1099:1099:1099) (950:950:950))
- (PORT datab (1810:1810:1810) (1571:1571:1571))
- (IOPATH dataa combout (414:414:414) (450:450:450))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (423:423:423) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (900:900:900) (757:757:757))
- (PORT datab (266:266:266) (273:273:273))
- (PORT datac (442:442:442) (391:391:391))
- (PORT datad (1113:1113:1113) (914:914:914))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (270:270:270) (282:282:282))
- (PORT datab (538:538:538) (446:446:446))
- (PORT datac (228:228:228) (244:244:244))
- (PORT datad (1116:1116:1116) (917:917:917))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (316:316:316) (387:387:387))
- (IOPATH datac combout (301:301:301) (283:283:283))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (269:269:269) (281:281:281))
- (PORT datab (269:269:269) (276:276:276))
- (PORT datac (809:809:809) (681:681:681))
- (PORT datad (891:891:891) (781:781:781))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (811:811:811) (663:663:663))
- (PORT datab (1504:1504:1504) (1242:1242:1242))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (PORT sclr (1329:1329:1329) (1447:1447:1447))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (340:340:340) (400:400:400))
- (PORT datab (840:840:840) (726:726:726))
- (PORT datac (296:296:296) (360:360:360))
- (PORT datad (299:299:299) (354:354:354))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (279:279:279) (289:289:289))
- (PORT datad (308:308:308) (367:367:367))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (271:271:271) (282:282:282))
- (PORT datab (269:269:269) (276:276:276))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab cout (497:497:497) (381:381:381))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (811:811:811) (659:659:659))
- (PORT datab (469:469:469) (404:404:404))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (532:532:532) (433:433:433))
- (PORT datab (736:736:736) (602:602:602))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (PORT sclr (1329:1329:1329) (1447:1447:1447))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (902:902:902) (759:759:759))
- (PORT datab (268:268:268) (275:275:275))
- (PORT datac (224:224:224) (239:239:239))
- (PORT datad (1114:1114:1114) (915:915:915))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (270:270:270) (282:282:282))
- (PORT datab (267:267:267) (274:274:274))
- (PORT datac (693:693:693) (567:567:567))
- (PORT datad (809:809:809) (688:688:688))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (269:269:269) (281:281:281))
- (PORT datab (792:792:792) (640:640:640))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (PORT sclr (1329:1329:1329) (1447:1447:1447))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1535:1535:1535) (1367:1367:1367))
- (PORT datab (935:935:935) (821:821:821))
- (PORT datac (789:789:789) (712:712:712))
- (PORT datad (829:829:829) (736:736:736))
- (IOPATH dataa combout (414:414:414) (450:450:450))
- (IOPATH datab combout (423:423:423) (453:453:453))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (890:890:890) (785:785:785))
- (PORT datab (346:346:346) (404:404:404))
- (PORT datac (783:783:783) (626:626:626))
- (PORT datad (238:238:238) (250:250:250))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (955:955:955) (772:772:772))
- (PORT datab (793:793:793) (677:677:677))
- (PORT datad (786:786:786) (719:719:719))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (272:272:272) (284:284:284))
- (PORT datab (268:268:268) (275:275:275))
- (PORT datac (1024:1024:1024) (835:835:835))
- (PORT datad (514:514:514) (451:451:451))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (856:856:856) (705:705:705))
- (PORT datab (936:936:936) (822:822:822))
- (PORT datac (794:794:794) (717:717:717))
- (PORT datad (225:225:225) (232:232:232))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (PORT sclr (1329:1329:1329) (1447:1447:1447))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (567:567:567) (552:552:552))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (728:728:728) (600:600:600))
- (PORT datab (785:785:785) (631:631:631))
- (PORT datac (756:756:756) (628:628:628))
- (PORT datad (756:756:756) (643:643:643))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (788:788:788) (658:658:658))
- (PORT datad (444:444:444) (385:385:385))
- (IOPATH dataa combout (435:435:435) (444:444:444))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (PORT sclr (1329:1329:1329) (1447:1447:1447))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (916:916:916) (794:794:794))
- (PORT datab (268:268:268) (275:275:275))
- (PORT datac (227:227:227) (242:242:242))
- (PORT datad (566:566:566) (551:551:551))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT asdata (740:740:740) (810:810:810))
- (PORT clrn (1682:1682:1682) (1637:1637:1637))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (361:361:361) (429:429:429))
- (PORT datab (810:810:810) (665:665:665))
- (PORT datad (751:751:751) (637:637:637))
- (IOPATH dataa combout (377:377:377) (380:380:380))
- (IOPATH datab combout (437:437:437) (451:451:451))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (767:767:767) (617:617:617))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1638:1638:1638) (1516:1516:1516))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (PORT sload (1285:1285:1285) (1355:1355:1355))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (777:777:777) (690:690:690))
- (PORT datab (792:792:792) (675:675:675))
- (PORT datac (971:971:971) (761:761:761))
- (PORT datad (786:786:786) (719:719:719))
- (IOPATH dataa combout (435:435:435) (449:449:449))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (227:227:227) (234:234:234))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1641:1641:1641) (1520:1520:1520))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (PORT sload (1285:1285:1285) (1355:1355:1355))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (322:322:322) (381:381:381))
- (PORT datab (320:320:320) (374:374:374))
- (PORT datac (991:991:991) (941:941:941))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (326:326:326) (384:384:384))
- (PORT datac (989:989:989) (938:938:938))
- (PORT datad (280:280:280) (335:335:335))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (331:331:331) (389:389:389))
- (PORT datac (991:991:991) (941:941:941))
- (PORT datad (280:280:280) (335:335:335))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (964:964:964) (882:882:882))
- (PORT datac (1399:1399:1399) (1220:1220:1220))
- (PORT datad (1212:1212:1212) (1073:1073:1073))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1689:1689:1689) (1643:1643:1643))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (320:320:320) (374:374:374))
- (PORT datad (996:996:996) (951:951:951))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (333:333:333) (397:397:397))
- (PORT datab (1038:1038:1038) (991:991:991))
- (PORT datac (277:277:277) (340:340:340))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (323:323:323) (383:383:383))
- (PORT datab (1038:1038:1038) (991:991:991))
- (PORT datac (277:277:277) (340:340:340))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (332:332:332) (396:396:396))
- (PORT datab (1039:1039:1039) (992:992:992))
- (PORT datad (278:278:278) (333:333:333))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (971:971:971) (868:868:868))
- (PORT datab (1039:1039:1039) (992:992:992))
- (PORT datac (278:278:278) (341:341:341))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1609:1609:1609) (1580:1580:1580))
- (PORT D (819:819:819) (853:853:853))
- (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (513:513:513))
- (HOLD D (negedge ENA) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1609:1609:1609) (1581:1581:1581))
- (PORT d (1240:1240:1240) (1235:1235:1235))
- (IOPATH (posedge clk) q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (104:104:104))
- (HOLD d (posedge clk) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1767:1767:1767) (1752:1752:1752))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (491:491:491) (503:503:503))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (491:491:491))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~33)
- (DELAY
- (ABSOLUTE
- (PORT dataa (853:853:853) (739:739:739))
- (PORT datab (283:283:283) (296:296:296))
- (PORT datad (234:234:234) (245:245:245))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[13\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[13\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1242:1242:1242) (1041:1041:1041))
- (PORT datab (1459:1459:1459) (1203:1203:1203))
- (PORT datac (287:287:287) (353:353:353))
- (PORT datad (791:791:791) (684:684:684))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~32)
- (DELAY
- (ABSOLUTE
- (PORT dataa (852:852:852) (738:738:738))
- (PORT datab (274:274:274) (284:284:284))
- (PORT datac (835:835:835) (716:716:716))
- (PORT datad (821:821:821) (708:708:708))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[15\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1141:1141:1141) (936:936:936))
- (PORT datab (854:854:854) (724:724:724))
- (PORT datac (287:287:287) (353:353:353))
- (PORT datad (512:512:512) (499:499:499))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[12\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1241:1241:1241) (1040:1040:1040))
- (PORT datab (1458:1458:1458) (1203:1203:1203))
- (PORT datac (301:301:301) (365:365:365))
- (PORT datad (792:792:792) (684:684:684))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (965:965:965) (885:885:885))
- (PORT datac (989:989:989) (936:936:936))
- (PORT datad (924:924:924) (869:869:869))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (965:965:965) (885:885:885))
- (PORT datac (990:990:990) (937:937:937))
- (PORT datad (924:924:924) (869:869:869))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (983:983:983) (928:928:928))
- (PORT datad (921:921:921) (866:866:866))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (893:893:893) (811:811:811))
- (PORT datab (889:889:889) (790:790:790))
- (PORT datac (880:880:880) (781:781:781))
- (PORT datad (799:799:799) (719:719:719))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (989:989:989) (936:936:936))
- (IOPATH datac combout (301:301:301) (283:283:283))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (894:894:894) (813:813:813))
- (PORT datab (896:896:896) (797:797:797))
- (PORT datac (888:888:888) (790:790:790))
- (PORT datad (796:796:796) (715:715:715))
- (IOPATH dataa combout (408:408:408) (450:450:450))
- (IOPATH datab combout (415:415:415) (453:453:453))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (928:928:928) (801:801:801))
- (PORT datab (838:838:838) (752:752:752))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (269:269:269) (280:280:280))
- (PORT datab (270:270:270) (277:277:277))
- (PORT datac (820:820:820) (690:690:690))
- (PORT datad (762:762:762) (621:621:621))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (377:377:377) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (873:873:873) (767:767:767))
- (PORT datab (844:844:844) (759:759:759))
- (PORT datac (486:486:486) (413:413:413))
- (PORT datad (823:823:823) (682:682:682))
- (IOPATH dataa combout (373:373:373) (380:380:380))
- (IOPATH datab combout (384:384:384) (386:386:386))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (370:370:370) (432:432:432))
- (PORT datab (354:354:354) (415:415:415))
- (PORT datac (303:303:303) (370:370:370))
- (PORT datad (306:306:306) (366:366:366))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (829:829:829) (737:737:737))
- (PORT datad (720:720:720) (579:579:579))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1082:1082:1082) (854:854:854))
- (PORT datab (1043:1043:1043) (813:813:813))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab cout (497:497:497) (381:381:381))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (272:272:272) (285:285:285))
- (PORT datab (268:268:268) (275:275:275))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1648:1648:1648) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (PORT sclr (1634:1634:1634) (1813:1813:1813))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (839:839:839) (749:749:749))
- (PORT datab (357:357:357) (419:419:419))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (842:842:842) (663:663:663))
- (PORT datab (268:268:268) (275:275:275))
- (PORT datac (802:802:802) (726:726:726))
- (PORT datad (821:821:821) (681:681:681))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datab combout (377:377:377) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (474:474:474) (413:413:413))
- (PORT datab (537:537:537) (446:446:446))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (746:746:746) (609:609:609))
- (PORT datab (267:267:267) (274:274:274))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (485:485:485) (419:419:419))
- (PORT datab (267:267:267) (274:274:274))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1648:1648:1648) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (PORT sclr (1634:1634:1634) (1813:1813:1813))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datad (226:226:226) (233:233:233))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (789:789:789) (632:632:632))
- (PORT datab (827:827:827) (659:659:659))
- (PORT datac (826:826:826) (735:735:735))
- (PORT datad (535:535:535) (514:514:514))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (310:310:310) (330:330:330))
- (PORT datab (586:586:586) (570:570:570))
- (PORT datad (263:263:263) (277:277:277))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (269:269:269) (281:281:281))
- (PORT datab (804:804:804) (683:683:683))
- (PORT datac (803:803:803) (709:709:709))
- (PORT datad (434:434:434) (371:371:371))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1648:1648:1648) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (PORT sclr (1634:1634:1634) (1813:1813:1813))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (840:840:840) (755:755:755))
- (PORT datab (934:934:934) (816:816:816))
- (IOPATH dataa combout (414:414:414) (450:450:450))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (423:423:423) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (838:838:838) (753:753:753))
- (PORT datab (938:938:938) (821:821:821))
- (IOPATH dataa combout (420:420:420) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab combout (423:423:423) (453:453:453))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (866:866:866) (718:718:718))
- (PORT datab (267:267:267) (273:273:273))
- (PORT datac (227:227:227) (242:242:242))
- (PORT datad (786:786:786) (669:669:669))
- (IOPATH dataa combout (428:428:428) (449:449:449))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (846:846:846) (668:668:668))
- (PORT datab (268:268:268) (275:275:275))
- (PORT datac (682:682:682) (564:564:564))
- (PORT datad (822:822:822) (681:681:681))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1648:1648:1648) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (PORT sclr (1634:1634:1634) (1813:1813:1813))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT asdata (1586:1586:1586) (1440:1440:1440))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~8)
- (DELAY
- (ABSOLUTE
- (PORT datad (834:834:834) (739:739:739))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (887:887:887) (740:740:740))
- (PORT datab (480:480:480) (418:418:418))
- (PORT datac (734:734:734) (596:596:596))
- (PORT datad (765:765:765) (648:648:648))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (801:801:801) (646:646:646))
- (PORT datab (266:266:266) (272:272:272))
- (PORT datac (696:696:696) (569:569:569))
- (PORT datad (765:765:765) (647:647:647))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (482:482:482) (425:425:425))
- (PORT datad (442:442:442) (383:383:383))
- (IOPATH dataa combout (435:435:435) (444:444:444))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1648:1648:1648) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (PORT sclr (1634:1634:1634) (1813:1813:1813))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sclr (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (787:787:787) (640:640:640))
- (PORT datab (768:768:768) (628:628:628))
- (PORT datac (836:836:836) (735:735:735))
- (PORT datad (535:535:535) (514:514:514))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT asdata (1409:1409:1409) (1352:1352:1352))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (803:803:803) (672:672:672))
- (PORT datab (867:867:867) (694:694:694))
- (PORT datad (319:319:319) (385:385:385))
- (IOPATH dataa combout (435:435:435) (419:419:419))
- (IOPATH datab combout (437:437:437) (431:431:431))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (833:833:833) (702:702:702))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1826:1826:1826) (1645:1645:1645))
- (PORT clrn (1689:1689:1689) (1643:1643:1643))
- (PORT sload (1571:1571:1571) (1688:1688:1688))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[7\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (981:981:981) (926:926:926))
- (PORT datad (920:920:920) (865:865:865))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (363:363:363) (431:431:431))
- (PORT datab (317:317:317) (371:371:371))
- (PORT datac (758:758:758) (632:632:632))
- (PORT datad (806:806:806) (652:652:652))
- (IOPATH dataa combout (394:394:394) (419:419:419))
- (IOPATH datab combout (437:437:437) (431:431:431))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (759:759:759) (600:600:600))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1825:1825:1825) (1644:1644:1644))
- (PORT clrn (1689:1689:1689) (1643:1643:1643))
- (PORT sload (1571:1571:1571) (1688:1688:1688))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (634:634:634) (598:598:598))
- (PORT datab (352:352:352) (410:410:410))
- (PORT datac (1215:1215:1215) (1096:1096:1096))
- (PORT datad (837:837:837) (723:723:723))
- (IOPATH dataa combout (414:414:414) (450:450:450))
- (IOPATH datab combout (423:423:423) (453:453:453))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1683:1683:1683) (1638:1638:1638))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (321:321:321) (376:376:376))
- (PORT datac (990:990:990) (940:940:940))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1641:1641:1641) (1661:1661:1661))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (330:330:330) (388:388:388))
- (PORT datac (914:914:914) (825:825:825))
- (PORT datad (997:997:997) (952:952:952))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (331:331:331) (389:389:389))
- (PORT datac (277:277:277) (340:340:340))
- (PORT datad (997:997:997) (952:952:952))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (324:324:324) (384:384:384))
- (PORT datab (1038:1038:1038) (991:991:991))
- (PORT datac (279:279:279) (343:343:343))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (322:322:322) (377:377:377))
- (PORT datac (895:895:895) (857:857:857))
- (PORT datad (278:278:278) (333:333:333))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1667:1667:1667))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1913:1913:1913) (1709:1709:1709))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (PORT sload (1588:1588:1588) (1701:1701:1701))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (311:311:311) (331:331:331))
- (PORT datab (590:590:590) (574:574:574))
- (PORT datad (263:263:263) (277:277:277))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2\~_wirecell)
- (DELAY
- (ABSOLUTE
- (IOPATH datac combout (415:415:415) (429:429:429))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT asdata (1599:1599:1599) (1401:1401:1401))
- (PORT clrn (1690:1690:1690) (1645:1645:1645))
- (PORT sload (1588:1588:1588) (1701:1701:1701))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- (HOLD sload (posedge clk) (195:195:195))
- (HOLD asdata (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (323:323:323) (382:382:382))
- (PORT datab (322:322:322) (377:377:377))
- (PORT datad (959:959:959) (910:910:910))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (324:324:324) (384:384:384))
- (PORT datab (998:998:998) (946:946:946))
- (PORT datad (280:280:280) (335:335:335))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (326:326:326) (387:387:387))
- (PORT datab (1001:1001:1001) (949:949:949))
- (PORT datad (281:281:281) (336:336:336))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (321:321:321) (376:376:376))
- (PORT datac (940:940:940) (885:885:885))
- (PORT datad (278:278:278) (333:333:333))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1649:1649:1649) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1611:1611:1611) (1583:1583:1583))
- (PORT D (821:821:821) (856:856:856))
- (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (513:513:513))
- (HOLD D (negedge ENA) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1611:1611:1611) (1584:1584:1584))
- (PORT d (1265:1265:1265) (1258:1258:1258))
- (IOPATH (posedge clk) q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (104:104:104))
- (HOLD d (posedge clk) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1769:1769:1769) (1755:1755:1755))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (491:491:491) (503:503:503))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (491:491:491))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1606:1606:1606) (1577:1577:1577))
- (PORT D (1102:1102:1102) (1247:1247:1247))
- (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (513:513:513))
- (HOLD D (negedge ENA) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1606:1606:1606) (1578:1578:1578))
- (PORT d (872:872:872) (967:967:967))
- (IOPATH (posedge clk) q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (104:104:104))
- (HOLD d (posedge clk) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1764:1764:1764) (1749:1749:1749))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (491:491:491) (503:503:503))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (491:491:491))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1609:1609:1609) (1580:1580:1580))
- (PORT D (795:795:795) (877:877:877))
- (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (513:513:513))
- (HOLD D (negedge ENA) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1609:1609:1609) (1581:1581:1581))
- (PORT d (1167:1167:1167) (1308:1308:1308))
- (IOPATH (posedge clk) q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (104:104:104))
- (HOLD d (posedge clk) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1767:1767:1767) (1752:1752:1752))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (491:491:491) (503:503:503))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (491:491:491))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1611:1611:1611) (1583:1583:1583))
- (PORT D (798:798:798) (879:879:879))
- (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (513:513:513))
- (HOLD D (negedge ENA) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1611:1611:1611) (1584:1584:1584))
- (PORT d (1190:1190:1190) (1333:1333:1333))
- (IOPATH (posedge clk) q (213:213:213) (213:213:213))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (104:104:104))
- (HOLD d (posedge clk) (112:112:112))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1769:1769:1769) (1755:1755:1755))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (491:491:491) (503:503:503))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (491:491:491))
- )
- )
-)
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This file contains Slow Corner delays for the design using part EP4CE15F23C8,
+// with speed grade 8, core voltage 1.2V, and temperature 0 Celsius
+//
+
+//
+// This SDF file should be used for ModelSim (Verilog) only
+//
+
+(DELAYFILE
+ (SDFVERSION "2.1")
+ (DESIGN "hdmi_colorbar")
+ (DATE "04/29/2025 22:08:28")
+ (VENDOR "Altera")
+ (PROGRAM "Quartus II 32-bit")
+ (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition")
+ (DIVIDER .)
+ (TIMESCALE 1 ps)
+
+ (CELL
+ (CELLTYPE "cycloneive_pll")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
+ (DELAY
+ (ABSOLUTE
+ (PORT areset (3921:3921:3921) (3921:3921:3921))
+ (PORT inclk[0] (2063:2063:2063) (2063:2063:2063))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1661:1661:1661) (1680:1680:1680))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1702:1702:1702) (1657:1657:1657))
+ (PORT sclr (2383:2383:2383) (2704:2704:2704))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1661:1661:1661) (1680:1680:1680))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1702:1702:1702) (1657:1657:1657))
+ (PORT sclr (2383:2383:2383) (2704:2704:2704))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1678:1678:1678))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (1238:1238:1238) (1101:1101:1101))
+ (PORT clrn (1697:1697:1697) (1654:1654:1654))
+ (PORT sload (1505:1505:1505) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1657:1657:1657) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (1626:1626:1626) (1482:1482:1482))
+ (PORT clrn (1699:1699:1699) (1655:1655:1655))
+ (PORT sload (1108:1108:1108) (1101:1101:1101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (900:900:900) (843:843:843))
+ (PORT datab (878:878:878) (803:803:803))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (878:878:878) (811:811:811))
+ (PORT datab (1210:1210:1210) (1060:1060:1060))
+ (IOPATH dataa combout (420:420:420) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (423:423:423) (453:453:453))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (904:904:904) (804:804:804))
+ (IOPATH datab combout (423:423:423) (398:398:398))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (576:576:576) (569:569:569))
+ (PORT datab (330:330:330) (390:390:390))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (931:931:931) (815:815:815))
+ (PORT datab (621:621:621) (581:581:581))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (936:936:936) (844:844:844))
+ (PORT datab (938:938:938) (821:821:821))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (640:640:640) (597:597:597))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (641:641:641) (596:596:596))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (901:901:901) (844:844:844))
+ (PORT datab (876:876:876) (801:801:801))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (879:879:879) (813:813:813))
+ (PORT datab (1207:1207:1207) (1057:1057:1057))
+ (IOPATH dataa combout (414:414:414) (450:450:450))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (423:423:423) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (906:906:906) (807:807:807))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (579:579:579) (573:573:573))
+ (PORT datab (335:335:335) (395:395:395))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (859:859:859) (777:777:777))
+ (PORT datab (623:623:623) (583:583:583))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (940:940:940) (849:849:849))
+ (PORT datab (839:839:839) (765:765:765))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (901:901:901) (795:795:795))
+ (PORT datab (642:642:642) (599:599:599))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (642:642:642) (598:598:598))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (640:640:640) (606:606:606))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (660:660:660) (623:623:623))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (350:350:350) (414:414:414))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (381:381:381) (447:447:447))
+ (IOPATH dataa combout (420:420:420) (400:400:400))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (858:858:858) (690:690:690))
+ (PORT datab (740:740:740) (618:618:618))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (750:750:750) (634:634:634))
+ (PORT datab (561:561:561) (481:481:481))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1674:1674:1674))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (2268:2268:2268) (2031:2031:2031))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (PORT sload (1825:1825:1825) (1988:1988:1988))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (1809:1809:1809) (1567:1567:1567))
+ (PORT clrn (1685:1685:1685) (1640:1640:1640))
+ (PORT sload (1565:1565:1565) (1671:1671:1671))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1199:1199:1199) (1074:1074:1074))
+ (PORT datab (1330:1330:1330) (1163:1163:1163))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1301:1301:1301) (1145:1145:1145))
+ (PORT datab (919:919:919) (857:857:857))
+ (IOPATH dataa combout (414:414:414) (450:450:450))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (423:423:423) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (922:922:922) (854:854:854))
+ (IOPATH datab combout (423:423:423) (398:398:398))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (837:837:837) (738:738:738))
+ (PORT datab (1305:1305:1305) (1128:1128:1128))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (907:907:907) (842:842:842))
+ (PORT datab (983:983:983) (886:886:886))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (975:975:975) (895:895:895))
+ (PORT datab (951:951:951) (873:873:873))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (897:897:897) (837:837:837))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1121:1121:1121) (1008:1008:1008))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1197:1197:1197) (1072:1072:1072))
+ (PORT datab (1328:1328:1328) (1162:1162:1162))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1302:1302:1302) (1146:1146:1146))
+ (PORT datab (916:916:916) (854:854:854))
+ (IOPATH dataa combout (420:420:420) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (423:423:423) (453:453:453))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (924:924:924) (855:855:855))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (839:839:839) (740:740:740))
+ (PORT datab (1304:1304:1304) (1127:1127:1127))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (906:906:906) (841:841:841))
+ (PORT datab (1470:1470:1470) (1271:1271:1271))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (920:920:920) (852:852:852))
+ (PORT datab (952:952:952) (874:874:874))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1218:1218:1218) (1102:1102:1102))
+ (PORT datab (900:900:900) (840:840:840))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1124:1124:1124) (1011:1011:1011))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1186:1186:1186) (1063:1063:1063))
+ (PORT datab (820:820:820) (741:741:741))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1188:1188:1188) (1065:1065:1065))
+ (PORT datab (819:819:819) (740:740:740))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (572:572:572) (564:564:564))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1678:1678:1678))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (1303:1303:1303) (1251:1251:1251))
+ (PORT clrn (1697:1697:1697) (1654:1654:1654))
+ (PORT sload (1505:1505:1505) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1678:1678:1678))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (1237:1237:1237) (1100:1100:1100))
+ (PORT clrn (1697:1697:1697) (1654:1654:1654))
+ (PORT sload (1505:1505:1505) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (897:897:897) (794:794:794))
+ (PORT datab (877:877:877) (783:783:783))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (556:556:556) (546:546:546))
+ (PORT datab (338:338:338) (392:392:392))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (665:665:665) (622:622:622))
+ (PORT datab (820:820:820) (743:743:743))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (583:583:583) (580:580:580))
+ (PORT datab (810:810:810) (741:741:741))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (896:896:896) (793:793:793))
+ (PORT datab (878:878:878) (785:785:785))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (820:820:820) (740:740:740))
+ (PORT datab (355:355:355) (416:416:416))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (572:572:572) (563:563:563))
+ (PORT datab (901:901:901) (786:786:786))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (582:582:582) (579:579:579))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (648:648:648) (608:608:608))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (585:585:585) (582:582:582))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (650:650:650) (611:611:611))
+ (IOPATH datab combout (423:423:423) (398:398:398))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (2243:2243:2243) (1986:1986:1986))
+ (PORT clrn (1685:1685:1685) (1640:1640:1640))
+ (PORT sload (1565:1565:1565) (1671:1671:1671))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1657:1657:1657) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (1243:1243:1243) (1105:1105:1105))
+ (PORT clrn (1699:1699:1699) (1655:1655:1655))
+ (PORT sload (1108:1108:1108) (1101:1101:1101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1648:1648:1648) (1670:1670:1670))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (2153:2153:2153) (1865:1865:1865))
+ (PORT clrn (1690:1690:1690) (1647:1647:1647))
+ (PORT sload (2236:2236:2236) (2493:2493:2493))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1678:1678:1678))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (1297:1297:1297) (1243:1243:1243))
+ (PORT clrn (1697:1697:1697) (1654:1654:1654))
+ (PORT sload (1505:1505:1505) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1657:1657:1657) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (1241:1241:1241) (1103:1103:1103))
+ (PORT clrn (1699:1699:1699) (1655:1655:1655))
+ (PORT sload (1108:1108:1108) (1101:1101:1101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (349:349:349) (413:413:413))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (620:620:620) (579:579:579))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1641:1641:1641) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1664:1664:1664))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1641:1641:1641) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1641:1641:1641) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (321:321:321) (376:376:376))
+ (PORT datac (372:372:372) (464:464:464))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (898:898:898) (841:841:841))
+ (PORT datab (879:879:879) (804:804:804))
+ (PORT datac (833:833:833) (772:772:772))
+ (PORT datad (851:851:851) (776:776:776))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1640:1640:1640) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1674:1674:1674))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1664:1664:1664))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (322:322:322) (382:382:382))
+ (PORT datac (800:800:800) (717:717:717))
+ (PORT datad (1240:1240:1240) (1134:1134:1134))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (381:381:381) (448:448:448))
+ (PORT datab (353:353:353) (414:414:414))
+ (PORT datac (313:313:313) (383:383:383))
+ (PORT datad (313:313:313) (375:375:375))
+ (IOPATH dataa combout (420:420:420) (450:450:450))
+ (IOPATH datab combout (423:423:423) (453:453:453))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (328:328:328) (386:386:386))
+ (PORT datac (278:278:278) (341:341:341))
+ (PORT datad (1520:1520:1520) (1330:1330:1330))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (361:361:361) (430:430:430))
+ (PORT datab (357:357:357) (418:418:418))
+ (PORT datac (313:313:313) (382:382:382))
+ (PORT datad (312:312:312) (374:374:374))
+ (IOPATH dataa combout (374:374:374) (392:392:392))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1658:1658:1658) (1679:1679:1679))
+ (PORT asdata (1528:1528:1528) (1387:1387:1387))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (803:803:803) (675:675:675))
+ (PORT datab (362:362:362) (417:417:417))
+ (PORT datad (269:269:269) (287:287:287))
+ (IOPATH dataa combout (435:435:435) (419:419:419))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1657:1657:1657) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1699:1699:1699) (1655:1655:1655))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1641:1641:1641) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (424:424:424) (504:504:504))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (422:422:422) (502:502:502))
+ (PORT datac (286:286:286) (354:354:354))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (470:470:470) (406:406:406))
+ (PORT datab (267:267:267) (274:274:274))
+ (PORT datac (868:868:868) (754:754:754))
+ (PORT datad (874:874:874) (753:753:753))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (423:423:423) (391:391:391))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (269:269:269) (281:281:281))
+ (PORT datab (755:755:755) (631:631:631))
+ (PORT datac (441:441:441) (376:376:376))
+ (PORT datad (874:874:874) (753:753:753))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (488:488:488) (433:433:433))
+ (PORT datab (924:924:924) (804:804:804))
+ (PORT datac (815:815:815) (716:716:716))
+ (PORT datad (229:229:229) (237:237:237))
+ (IOPATH dataa combout (420:420:420) (371:371:371))
+ (IOPATH datab combout (423:423:423) (386:386:386))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (799:799:799) (671:671:671))
+ (PORT datab (269:269:269) (276:276:276))
+ (PORT datac (228:228:228) (244:244:244))
+ (PORT datad (866:866:866) (767:767:767))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (377:377:377) (380:380:380))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (900:900:900) (786:786:786))
+ (PORT datab (554:554:554) (469:469:469))
+ (PORT datac (228:228:228) (244:244:244))
+ (PORT datad (311:311:311) (336:336:336))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (546:546:546) (505:505:505))
+ (PORT datab (499:499:499) (445:445:445))
+ (PORT datac (780:780:780) (712:712:712))
+ (PORT datad (534:534:534) (494:494:494))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (271:271:271) (283:283:283))
+ (PORT datab (270:270:270) (278:278:278))
+ (PORT datac (258:258:258) (277:277:277))
+ (PORT datad (531:531:531) (509:509:509))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (423:423:423) (380:380:380))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (486:486:486) (431:431:431))
+ (PORT datab (267:267:267) (274:274:274))
+ (PORT datac (817:817:817) (718:718:718))
+ (PORT datad (865:865:865) (765:765:765))
+ (IOPATH dataa combout (420:420:420) (400:400:400))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (270:270:270) (282:282:282))
+ (PORT datab (536:536:536) (443:443:443))
+ (PORT datac (226:226:226) (241:241:241))
+ (PORT datad (864:864:864) (764:764:764))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (910:910:910) (791:791:791))
+ (PORT datab (268:268:268) (275:275:275))
+ (PORT datac (484:484:484) (408:408:408))
+ (PORT datad (843:843:843) (759:759:759))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (274:274:274) (284:284:284))
+ (PORT datad (339:339:339) (403:403:403))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1640:1640:1640) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (333:333:333) (396:396:396))
+ (PORT datac (496:496:496) (476:476:476))
+ (PORT datad (1507:1507:1507) (1299:1299:1299))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1580:1580:1580) (1322:1322:1322))
+ (PORT datac (1841:1841:1841) (1607:1607:1607))
+ (PORT datad (1197:1197:1197) (1088:1088:1088))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1664:1664:1664))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (322:322:322) (377:377:377))
+ (PORT datac (489:489:489) (480:480:480))
+ (PORT datad (1241:1241:1241) (1134:1134:1134))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1153:1153:1153) (986:986:986))
+ (PORT datab (269:269:269) (276:276:276))
+ (PORT datac (488:488:488) (416:416:416))
+ (PORT datad (898:898:898) (784:784:784))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1153:1153:1153) (986:986:986))
+ (PORT datab (269:269:269) (275:275:275))
+ (PORT datac (224:224:224) (239:239:239))
+ (PORT datad (477:477:477) (403:403:403))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (269:269:269) (280:280:280))
+ (PORT datab (955:955:955) (821:821:821))
+ (PORT datac (225:225:225) (241:241:241))
+ (PORT datad (1112:1112:1112) (943:943:943))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (270:270:270) (282:282:282))
+ (PORT datab (529:529:529) (434:434:434))
+ (PORT datac (1097:1097:1097) (937:937:937))
+ (PORT datad (229:229:229) (236:236:236))
+ (IOPATH dataa combout (420:420:420) (371:371:371))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (992:992:992) (896:896:896))
+ (PORT datab (269:269:269) (277:277:277))
+ (PORT datac (843:843:843) (734:734:734))
+ (PORT datad (537:537:537) (476:476:476))
+ (IOPATH dataa combout (373:373:373) (380:380:380))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1153:1153:1153) (985:985:985))
+ (PORT datab (266:266:266) (273:273:273))
+ (PORT datac (442:442:442) (391:391:391))
+ (PORT datad (893:893:893) (778:778:778))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (270:270:270) (282:282:282))
+ (PORT datab (541:541:541) (449:449:449))
+ (PORT datac (228:228:228) (244:244:244))
+ (PORT datad (1112:1112:1112) (944:944:944))
+ (IOPATH dataa combout (375:375:375) (371:371:371))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (603:603:603) (524:524:524))
+ (PORT datab (267:267:267) (274:274:274))
+ (PORT datac (844:844:844) (735:735:735))
+ (PORT datad (228:228:228) (236:236:236))
+ (IOPATH dataa combout (420:420:420) (380:380:380))
+ (IOPATH datab combout (423:423:423) (380:380:380))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (905:905:905) (776:776:776))
+ (PORT datab (1330:1330:1330) (1163:1163:1163))
+ (PORT datac (1155:1155:1155) (1035:1035:1035))
+ (PORT datad (768:768:768) (660:660:660))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (384:384:384) (398:398:398))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1188:1188:1188) (1079:1079:1079))
+ (PORT datab (269:269:269) (276:276:276))
+ (PORT datac (1096:1096:1096) (936:936:936))
+ (PORT datad (226:226:226) (233:233:233))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (423:423:423) (380:380:380))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (936:936:936) (861:861:861))
+ (PORT datad (811:811:811) (694:694:694))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (323:323:323) (382:382:382))
+ (PORT datab (321:321:321) (376:376:376))
+ (PORT datad (1521:1521:1521) (1331:1331:1331))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1677:1677:1677))
+ (PORT asdata (1292:1292:1292) (1198:1198:1198))
+ (PORT clrn (1697:1697:1697) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (372:372:372) (445:445:445))
+ (PORT datab (317:317:317) (333:333:333))
+ (PORT datad (862:862:862) (762:762:762))
+ (IOPATH dataa combout (377:377:377) (380:380:380))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1568:1568:1568) (1383:1383:1383))
+ (PORT datab (322:322:322) (377:377:377))
+ (PORT datac (486:486:486) (476:476:476))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (309:309:309) (329:329:329))
+ (PORT datab (538:538:538) (446:446:446))
+ (PORT datac (759:759:759) (637:637:637))
+ (PORT datad (478:478:478) (407:407:407))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (850:850:850) (773:773:773))
+ (PORT datab (801:801:801) (651:651:651))
+ (PORT datac (781:781:781) (714:714:714))
+ (PORT datad (777:777:777) (643:643:643))
+ (IOPATH dataa combout (350:350:350) (371:371:371))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (837:837:837) (746:746:746))
+ (PORT datab (870:870:870) (769:769:769))
+ (PORT datac (744:744:744) (612:612:612))
+ (PORT datad (782:782:782) (666:666:666))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (354:354:354) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (765:765:765) (651:651:651))
+ (PORT datab (268:268:268) (276:276:276))
+ (PORT datac (740:740:740) (590:590:590))
+ (PORT datad (515:515:515) (493:493:493))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (838:838:838) (747:747:747))
+ (PORT datab (842:842:842) (706:706:706))
+ (PORT datac (680:680:680) (552:552:552))
+ (PORT datad (226:226:226) (233:233:233))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (423:423:423) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (845:845:845) (755:755:755))
+ (PORT datab (471:471:471) (406:406:406))
+ (PORT datac (228:228:228) (243:243:243))
+ (PORT datad (227:227:227) (234:234:234))
+ (IOPATH dataa combout (392:392:392) (419:419:419))
+ (IOPATH datab combout (400:400:400) (391:391:391))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (474:474:474) (411:411:411))
+ (PORT datab (839:839:839) (686:686:686))
+ (PORT datac (807:807:807) (736:736:736))
+ (PORT datad (227:227:227) (234:234:234))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (400:400:400) (391:391:391))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (1176:1176:1176) (1039:1039:1039))
+ (PORT datad (1095:1095:1095) (898:898:898))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1658:1658:1658) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (538:538:538) (527:527:527))
+ (PORT datab (638:638:638) (635:635:635))
+ (PORT datac (874:874:874) (730:730:730))
+ (PORT datad (499:499:499) (451:451:451))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (400:400:400) (431:431:431))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (361:361:361) (422:422:422))
+ (PORT datac (1204:1204:1204) (1063:1063:1063))
+ (PORT datad (463:463:463) (404:404:404))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1641:1641:1641) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (378:378:378) (470:470:470))
+ (PORT datad (490:490:490) (476:476:476))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1664:1664:1664) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1694:1694:1694) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (900:900:900) (797:797:797))
+ (PORT datab (634:634:634) (589:589:589))
+ (PORT datac (580:580:580) (565:565:565))
+ (PORT datad (841:841:841) (754:754:754))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1649:1649:1649) (1671:1671:1671))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1690:1690:1690) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (974:974:974) (896:896:896))
+ (PORT datab (354:354:354) (376:376:376))
+ (PORT datac (538:538:538) (509:509:509))
+ (PORT datad (534:534:534) (494:494:494))
+ (IOPATH dataa combout (377:377:377) (380:380:380))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1640:1640:1640) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (332:332:332) (396:396:396))
+ (PORT datac (279:279:279) (342:342:342))
+ (PORT datad (1506:1506:1506) (1298:1298:1298))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1649:1649:1649) (1671:1671:1671))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1690:1690:1690) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (974:974:974) (895:895:895))
+ (PORT datab (354:354:354) (376:376:376))
+ (PORT datac (857:857:857) (749:749:749))
+ (PORT datad (494:494:494) (479:479:479))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1664:1664:1664))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1286:1286:1286) (1108:1108:1108))
+ (PORT datac (278:278:278) (341:341:341))
+ (PORT datad (1241:1241:1241) (1135:1135:1135))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1677:1677:1677))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1697:1697:1697) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (372:372:372) (446:446:446))
+ (PORT datab (319:319:319) (373:373:373))
+ (PORT datac (274:274:274) (300:300:300))
+ (PORT datad (860:860:860) (759:759:759))
+ (IOPATH dataa combout (351:351:351) (377:377:377))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (322:322:322) (382:382:382))
+ (PORT datab (319:319:319) (374:374:374))
+ (PORT datad (1527:1527:1527) (1337:1337:1337))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1677:1677:1677))
+ (PORT asdata (1279:1279:1279) (1209:1209:1209))
+ (PORT clrn (1697:1697:1697) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (372:372:372) (445:445:445))
+ (PORT datab (317:317:317) (333:333:333))
+ (PORT datad (862:862:862) (762:762:762))
+ (IOPATH dataa combout (377:377:377) (380:380:380))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1664:1664:1664))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1567:1567:1567) (1381:1381:1381))
+ (PORT datab (330:330:330) (388:388:388))
+ (PORT datac (1149:1149:1149) (1007:1007:1007))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1166:1166:1166) (1050:1050:1050))
+ (PORT datac (1122:1122:1122) (991:991:991))
+ (IOPATH dataa combout (435:435:435) (444:444:444))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1658:1658:1658) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (603:603:603) (558:558:558))
+ (PORT datab (632:632:632) (629:629:629))
+ (PORT datac (868:868:868) (723:723:723))
+ (PORT datad (504:504:504) (457:457:457))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (400:400:400) (431:431:431))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1658:1658:1658) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (545:545:545) (497:497:497))
+ (PORT datab (634:634:634) (631:631:631))
+ (PORT datac (870:870:870) (725:725:725))
+ (PORT datad (792:792:792) (691:691:691))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1696:1696:1696) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (362:362:362) (430:430:430))
+ (PORT datab (355:355:355) (415:415:415))
+ (PORT datac (305:305:305) (371:371:371))
+ (PORT datad (304:304:304) (363:363:363))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (400:400:400) (391:391:391))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (907:907:907) (786:786:786))
+ (PORT datab (345:345:345) (372:372:372))
+ (PORT datad (466:466:466) (386:386:386))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (384:384:384) (398:398:398))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[7\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1273:1273:1273) (1158:1158:1158))
+ (PORT datad (1217:1217:1217) (1117:1117:1117))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1674:1674:1674))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (323:323:323) (382:382:382))
+ (PORT datad (1510:1510:1510) (1302:1302:1302))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[4\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1259:1259:1259) (1134:1134:1134))
+ (PORT datac (1229:1229:1229) (1120:1120:1120))
+ (IOPATH dataa combout (435:435:435) (444:444:444))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (976:976:976) (898:898:898))
+ (PORT datab (574:574:574) (531:531:531))
+ (PORT datad (312:312:312) (337:337:337))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1685:1685:1685) (1640:1640:1640))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (321:321:321) (376:376:376))
+ (PORT datad (1242:1242:1242) (1136:1136:1136))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (958:958:958) (838:838:838))
+ (PORT datad (787:787:787) (656:656:656))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (819:819:819) (717:717:717))
+ (PORT datad (787:787:787) (656:656:656))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~24)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (960:960:960) (840:840:840))
+ (PORT datab (266:266:266) (273:273:273))
+ (PORT datac (783:783:783) (672:672:672))
+ (PORT datad (839:839:839) (736:736:736))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~29)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (934:934:934) (802:802:802))
+ (PORT datab (863:863:863) (757:757:757))
+ (PORT datac (872:872:872) (762:762:762))
+ (PORT datad (1134:1134:1134) (936:936:936))
+ (IOPATH dataa combout (420:420:420) (444:444:444))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[5\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (972:972:972) (905:905:905))
+ (PORT datac (858:858:858) (792:792:792))
+ (PORT datad (870:870:870) (820:820:820))
+ (IOPATH dataa combout (435:435:435) (444:444:444))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1677:1677:1677))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1697:1697:1697) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (865:865:865) (757:757:757))
+ (PORT datab (522:522:522) (499:499:499))
+ (PORT datac (802:802:802) (747:747:747))
+ (PORT datad (475:475:475) (410:410:410))
+ (IOPATH dataa combout (435:435:435) (449:449:449))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1675:1675:1675))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (894:894:894) (826:826:826))
+ (PORT datad (1528:1528:1528) (1339:1339:1339))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1685:1685:1685) (1640:1640:1640))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (327:327:327) (387:387:387))
+ (PORT datad (1244:1244:1244) (1138:1138:1138))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[7\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1166:1166:1166) (1050:1050:1050))
+ (PORT datad (1176:1176:1176) (1038:1038:1038))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[4\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (1131:1131:1131) (1000:1000:1000))
+ (PORT datad (1175:1175:1175) (1037:1037:1037))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (929:929:929) (767:767:767))
+ (PORT datab (636:636:636) (632:632:632))
+ (PORT datad (503:503:503) (455:455:455))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1244:1244:1244) (1137:1137:1137))
+ (PORT datab (1578:1578:1578) (1320:1320:1320))
+ (PORT datac (1844:1844:1844) (1610:1610:1610))
+ (PORT datad (311:311:311) (371:371:371))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1545:1545:1545) (1362:1362:1362))
+ (PORT datab (1255:1255:1255) (1088:1088:1088))
+ (PORT datad (887:887:887) (835:835:835))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[7\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (930:930:930) (857:857:857))
+ (PORT datac (923:923:923) (861:861:861))
+ (PORT datad (877:877:877) (832:832:832))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (608:608:608) (558:558:558))
+ (PORT datab (1560:1560:1560) (1277:1277:1277))
+ (PORT datac (1223:1223:1223) (1091:1091:1091))
+ (PORT datad (1823:1823:1823) (1570:1570:1570))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH datab combout (423:423:423) (391:391:391))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1233:1233:1233) (1089:1089:1089))
+ (PORT datac (1819:1819:1819) (1565:1565:1565))
+ (PORT datad (887:887:887) (836:836:836))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (933:933:933) (802:802:802))
+ (PORT datab (863:863:863) (757:757:757))
+ (PORT datac (870:870:870) (760:760:760))
+ (PORT datad (1133:1133:1133) (935:935:935))
+ (IOPATH dataa combout (392:392:392) (419:419:419))
+ (IOPATH datab combout (393:393:393) (431:431:431))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~35)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (509:509:509) (458:458:458))
+ (PORT datab (276:276:276) (285:285:285))
+ (PORT datac (877:877:877) (767:767:767))
+ (PORT datad (829:829:829) (718:718:718))
+ (IOPATH dataa combout (420:420:420) (371:371:371))
+ (IOPATH datab combout (423:423:423) (380:380:380))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2\~_wirecell)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (2044:2044:2044) (2012:2012:2012))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (370:370:370) (462:462:462))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (479:479:479) (405:405:405))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (227:227:227) (235:235:235))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (851:851:851) (713:713:713))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1067:1067:1067) (883:883:883))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (431:431:431) (366:366:366))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (468:468:468) (400:400:400))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (805:805:805) (697:697:697))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (227:227:227) (235:235:235))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (268:268:268) (276:276:276))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_clk_p\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2488:2488:2488) (2436:2436:2436))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_clk_n\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2470:2470:2470) (2496:2496:2496))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_p\[0\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2498:2498:2498) (2446:2446:2446))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_p\[1\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2498:2498:2498) (2446:2446:2446))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_p\[2\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2498:2498:2498) (2446:2446:2446))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_n\[0\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2488:2488:2488) (2436:2436:2436))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_n\[1\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2508:2508:2508) (2456:2456:2456))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_n\[2\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2498:2498:2498) (2446:2446:2446))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (331:331:331) (395:395:395))
+ (IOPATH dataa combout (435:435:435) (444:444:444))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1641:1641:1641) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT sclr (996:996:996) (1135:1135:1135))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (333:333:333) (397:397:397))
+ (PORT datad (279:279:279) (334:334:334))
+ (IOPATH dataa combout (375:375:375) (392:392:392))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1641:1641:1641) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT sclr (996:996:996) (1135:1135:1135))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (329:329:329) (391:391:391))
+ (PORT datac (379:379:379) (471:471:471))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1641:1641:1641) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (319:319:319) (374:374:374))
+ (PORT datac (377:377:377) (469:469:469))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1641:1641:1641) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (373:373:373) (464:464:464))
+ (PORT datad (279:279:279) (334:334:334))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1641:1641:1641) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (325:325:325) (385:385:385))
+ (PORT datac (379:379:379) (471:471:471))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1641:1641:1641) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_clk\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (788:788:788) (813:813:813))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[1\]\~clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (2044:2044:2044) (2012:2012:2012))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1603:1603:1603) (1574:1574:1574))
+ (PORT D (1062:1062:1062) (1039:1039:1039))
+ (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (513:513:513))
+ (HOLD D (negedge ENA) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1603:1603:1603) (1575:1575:1575))
+ (PORT d (1152:1152:1152) (1120:1120:1120))
+ (IOPATH (posedge clk) q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (104:104:104))
+ (HOLD d (posedge clk) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1761:1761:1761) (1746:1746:1746))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (491:491:491) (503:503:503))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (491:491:491))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1606:1606:1606) (1577:1577:1577))
+ (PORT D (1010:1010:1010) (1163:1163:1163))
+ (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (513:513:513))
+ (HOLD D (negedge ENA) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1606:1606:1606) (1578:1578:1578))
+ (PORT d (1348:1348:1348) (1553:1553:1553))
+ (IOPATH (posedge clk) q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (104:104:104))
+ (HOLD d (posedge clk) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1764:1764:1764) (1749:1749:1749))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (491:491:491) (503:503:503))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (491:491:491))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (557:557:557) (547:547:547))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (611:611:611) (565:565:565))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (859:859:859) (784:784:784))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (916:916:916) (796:796:796))
+ (PORT datab (350:350:350) (377:377:377))
+ (PORT datad (439:439:439) (364:364:364))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (384:384:384) (398:398:398))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_rst_n\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (748:748:748) (773:773:773))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1585:1585:1585) (1746:1746:1746))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (4678:4678:4678) (4657:4657:4657))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE rst_n\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (4053:4053:4053) (4027:4027:4027))
+ (PORT datab (318:318:318) (373:373:373))
+ (PORT datad (933:933:933) (1075:1075:1075))
+ (IOPATH dataa combout (374:374:374) (392:392:392))
+ (IOPATH datab combout (384:384:384) (398:398:398))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE rst_n\~0clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (1392:1392:1392) (1251:1251:1251))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1664:1664:1664) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1694:1694:1694) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (340:340:340) (395:395:395))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (344:344:344) (401:401:401))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1696:1696:1696) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (345:345:345) (403:403:403))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1696:1696:1696) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (345:345:345) (402:402:402))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1696:1696:1696) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (339:339:339) (394:394:394))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1696:1696:1696) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (820:820:820) (736:736:736))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (342:342:342) (402:402:402))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1696:1696:1696) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (340:340:340) (395:395:395))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1696:1696:1696) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (342:342:342) (402:402:402))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (352:352:352) (416:416:416))
+ (PORT datab (349:349:349) (407:407:407))
+ (PORT datac (306:306:306) (372:372:372))
+ (PORT datad (309:309:309) (368:368:368))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (302:302:302) (318:318:318))
+ (PORT datab (269:269:269) (276:276:276))
+ (PORT datac (457:457:457) (398:398:398))
+ (PORT datad (482:482:482) (411:411:411))
+ (IOPATH dataa combout (374:374:374) (392:392:392))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1696:1696:1696) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1319:1319:1319) (1177:1177:1177))
+ (PORT datab (627:627:627) (588:588:588))
+ (PORT datac (580:580:580) (565:565:565))
+ (PORT datad (566:566:566) (554:554:554))
+ (IOPATH dataa combout (373:373:373) (380:380:380))
+ (IOPATH datab combout (377:377:377) (380:380:380))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (349:349:349) (407:407:407))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (302:302:302) (319:319:319))
+ (PORT datab (268:268:268) (275:275:275))
+ (PORT datac (457:457:457) (398:398:398))
+ (PORT datad (482:482:482) (411:411:411))
+ (IOPATH dataa combout (374:374:374) (392:392:392))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1696:1696:1696) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (348:348:348) (406:406:406))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1696:1696:1696) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (376:376:376) (438:438:438))
+ (IOPATH dataa combout (435:435:435) (444:444:444))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[11\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1696:1696:1696) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (571:571:571) (557:557:557))
+ (PORT datab (346:346:346) (404:404:404))
+ (PORT datac (328:328:328) (396:396:396))
+ (PORT datad (306:306:306) (365:365:365))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1196:1196:1196) (973:973:973))
+ (PORT datac (516:516:516) (445:445:445))
+ (PORT datad (792:792:792) (687:687:687))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (541:541:541) (494:494:494))
+ (PORT datab (270:270:270) (277:277:277))
+ (PORT datad (849:849:849) (708:708:708))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1665:1665:1665) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1695:1695:1695) (1648:1648:1648))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (340:340:340) (395:395:395))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (541:541:541) (494:494:494))
+ (PORT datab (270:270:270) (277:277:277))
+ (PORT datad (849:849:849) (707:707:707))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1665:1665:1665) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1695:1695:1695) (1648:1648:1648))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (613:613:613) (568:568:568))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (913:913:913) (792:792:792))
+ (PORT datab (529:529:529) (433:433:433))
+ (PORT datad (306:306:306) (336:336:336))
+ (IOPATH dataa combout (392:392:392) (419:419:419))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1664:1664:1664) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1694:1694:1694) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (552:552:552) (540:540:540))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (908:908:908) (787:787:787))
+ (PORT datab (472:472:472) (406:406:406))
+ (PORT datad (303:303:303) (333:333:333))
+ (IOPATH dataa combout (392:392:392) (419:419:419))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1664:1664:1664) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1694:1694:1694) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (607:607:607) (565:565:565))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (909:909:909) (787:787:787))
+ (PORT datab (528:528:528) (432:432:432))
+ (PORT datad (304:304:304) (333:333:333))
+ (IOPATH dataa combout (392:392:392) (419:419:419))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1664:1664:1664) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1694:1694:1694) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (922:922:922) (838:838:838))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (915:915:915) (794:794:794))
+ (PORT datab (349:349:349) (377:377:377))
+ (PORT datad (743:743:743) (611:611:611))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (384:384:384) (398:398:398))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1664:1664:1664) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1694:1694:1694) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (344:344:344) (404:404:404))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[10\]\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (540:540:540) (493:493:493))
+ (PORT datab (480:480:480) (415:415:415))
+ (PORT datad (848:848:848) (706:706:706))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1665:1665:1665) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1695:1695:1695) (1648:1648:1648))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (343:343:343) (399:399:399))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (540:540:540) (493:493:493))
+ (PORT datab (808:808:808) (673:673:673))
+ (PORT datad (848:848:848) (706:706:706))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[11\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1665:1665:1665) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1695:1695:1695) (1648:1648:1648))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (860:860:860) (793:793:793))
+ (PORT datad (879:879:879) (809:809:809))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (603:603:603) (554:554:554))
+ (PORT datab (346:346:346) (404:404:404))
+ (PORT datac (306:306:306) (373:373:373))
+ (PORT datad (305:305:305) (364:364:364))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (827:827:827) (762:762:762))
+ (PORT datab (351:351:351) (409:409:409))
+ (PORT datac (483:483:483) (405:405:405))
+ (PORT datad (257:257:257) (268:268:268))
+ (IOPATH dataa combout (350:350:350) (371:371:371))
+ (IOPATH datab combout (354:354:354) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (272:272:272) (284:284:284))
+ (PORT datab (276:276:276) (286:286:286))
+ (PORT datac (863:863:863) (747:747:747))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (400:400:400) (391:391:391))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (918:918:918) (797:797:797))
+ (PORT datab (350:350:350) (378:378:378))
+ (PORT datad (464:464:464) (383:383:383))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (384:384:384) (398:398:398))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1664:1664:1664) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1694:1694:1694) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (917:917:917) (797:797:797))
+ (PORT datab (760:760:760) (628:628:628))
+ (PORT datad (308:308:308) (338:338:338))
+ (IOPATH dataa combout (392:392:392) (419:419:419))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1664:1664:1664) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1694:1694:1694) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (358:358:358) (426:426:426))
+ (PORT datab (347:347:347) (406:406:406))
+ (PORT datac (312:312:312) (382:382:382))
+ (PORT datad (305:305:305) (364:364:364))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH datab combout (354:354:354) (380:380:380))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (410:410:410))
+ (PORT datab (347:347:347) (404:404:404))
+ (PORT datac (304:304:304) (371:371:371))
+ (PORT datad (304:304:304) (363:363:363))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (822:822:822) (756:756:756))
+ (PORT datab (268:268:268) (274:274:274))
+ (PORT datac (462:462:462) (421:421:421))
+ (PORT datad (255:255:255) (266:266:266))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (393:393:393) (431:431:431))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (916:916:916) (848:848:848))
+ (PORT datab (915:915:915) (817:817:817))
+ (PORT datac (856:856:856) (790:790:790))
+ (PORT datad (802:802:802) (723:723:723))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (942:942:942) (795:795:795))
+ (PORT datab (1093:1093:1093) (979:979:979))
+ (PORT datac (1102:1102:1102) (1062:1062:1062))
+ (PORT datad (234:234:234) (244:244:244))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (377:377:377) (380:380:380))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (835:835:835) (733:733:733))
+ (PORT datab (1200:1200:1200) (978:978:978))
+ (PORT datac (513:513:513) (443:443:443))
+ (PORT datad (851:851:851) (714:714:714))
+ (IOPATH dataa combout (374:374:374) (392:392:392))
+ (IOPATH datab combout (384:384:384) (398:398:398))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1674:1674:1674))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (555:555:555) (545:545:545))
+ (PORT datab (609:609:609) (563:563:563))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (618:618:618) (573:573:573))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (555:555:555) (544:544:544))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (624:624:624) (585:585:585))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (872:872:872) (782:782:782))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (629:629:629) (596:596:596))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (627:627:627) (594:594:594))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (626:626:626) (595:595:595))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|always0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (966:966:966) (846:846:846))
+ (PORT datab (867:867:867) (763:763:763))
+ (PORT datac (823:823:823) (721:721:721))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (968:968:968) (849:849:849))
+ (PORT datac (824:824:824) (722:722:722))
+ (PORT datad (788:788:788) (658:658:658))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (900:900:900) (794:794:794))
+ (IOPATH datab combout (423:423:423) (398:398:398))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|always0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (886:886:886) (757:757:757))
+ (PORT datab (266:266:266) (273:273:273))
+ (PORT datac (241:241:241) (264:264:264))
+ (PORT datad (837:837:837) (734:734:734))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (869:869:869) (765:765:765))
+ (PORT datac (820:820:820) (718:718:718))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (898:898:898) (796:796:796))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (967:967:967) (848:848:848))
+ (PORT datab (269:269:269) (276:276:276))
+ (PORT datac (840:840:840) (718:718:718))
+ (PORT datad (836:836:836) (733:733:733))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (915:915:915) (803:803:803))
+ (IOPATH dataa combout (435:435:435) (444:444:444))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_x\[11\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (616:616:616) (538:538:538))
+ (PORT datad (782:782:782) (659:659:659))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (495:495:495) (431:431:431))
+ (PORT datab (494:494:494) (430:430:430))
+ (PORT datac (497:497:497) (425:425:425))
+ (PORT datad (270:270:270) (287:287:287))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (423:423:423) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~17)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (868:868:868) (764:764:764))
+ (PORT datac (823:823:823) (720:720:720))
+ (PORT datad (838:838:838) (735:735:735))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~34)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (621:621:621) (544:544:544))
+ (PORT datab (279:279:279) (289:289:289))
+ (PORT datac (439:439:439) (375:375:375))
+ (PORT datad (800:800:800) (682:682:682))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1332:1332:1332) (1221:1221:1221))
+ (PORT datad (894:894:894) (830:830:830))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (882:882:882) (745:745:745))
+ (PORT datab (909:909:909) (856:856:856))
+ (PORT datac (828:828:828) (710:710:710))
+ (PORT datad (1291:1291:1291) (1178:1178:1178))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (327:327:327) (363:363:363))
+ (PORT datab (1290:1290:1290) (1142:1142:1142))
+ (PORT datac (936:936:936) (860:860:860))
+ (PORT datad (884:884:884) (788:788:788))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (354:354:354) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (852:852:852) (746:746:746))
+ (PORT datab (862:862:862) (756:756:756))
+ (PORT datac (874:874:874) (765:765:765))
+ (PORT datad (831:831:831) (720:720:720))
+ (IOPATH dataa combout (420:420:420) (371:371:371))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (852:852:852) (746:746:746))
+ (PORT datab (861:861:861) (756:756:756))
+ (PORT datac (875:875:875) (766:766:766))
+ (PORT datad (866:866:866) (753:753:753))
+ (IOPATH dataa combout (420:420:420) (400:400:400))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|always0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (852:852:852) (746:746:746))
+ (PORT datab (276:276:276) (285:285:285))
+ (PORT datac (876:876:876) (766:766:766))
+ (PORT datad (830:830:830) (719:719:719))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (393:393:393) (431:431:431))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (934:934:934) (802:802:802))
+ (PORT datab (862:862:862) (756:756:756))
+ (PORT datad (1134:1134:1134) (937:937:937))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (934:934:934) (802:802:802))
+ (PORT datab (277:277:277) (287:287:287))
+ (PORT datac (243:243:243) (265:265:265))
+ (PORT datad (244:244:244) (258:258:258))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (393:393:393) (431:431:431))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (285:285:285) (303:303:303))
+ (PORT datab (276:276:276) (286:286:286))
+ (PORT datac (701:701:701) (580:580:580))
+ (PORT datad (271:271:271) (288:288:288))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1668:1668:1668) (1687:1687:1687))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1709:1709:1709) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~19)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (278:278:278) (292:292:292))
+ (PORT datab (281:281:281) (293:293:293))
+ (PORT datac (239:239:239) (260:260:260))
+ (PORT datad (818:818:818) (691:691:691))
+ (IOPATH dataa combout (420:420:420) (425:425:425))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (285:285:285) (304:304:304))
+ (PORT datac (704:704:704) (582:582:582))
+ (PORT datad (236:236:236) (247:247:247))
+ (IOPATH dataa combout (374:374:374) (392:392:392))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1668:1668:1668) (1687:1687:1687))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1709:1709:1709) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (850:850:850) (731:731:731))
+ (PORT datab (293:293:293) (301:301:301))
+ (PORT datac (808:808:808) (727:727:727))
+ (PORT datad (541:541:541) (513:513:513))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1667:1667:1667) (1686:1686:1686))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1708:1708:1708) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan4\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (624:624:624) (584:584:584))
+ (PORT datac (578:578:578) (562:562:562))
+ (PORT datad (833:833:833) (746:746:746))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (962:962:962) (880:880:880))
+ (PORT datab (911:911:911) (858:858:858))
+ (PORT datac (829:829:829) (708:708:708))
+ (PORT datad (1292:1292:1292) (1180:1180:1180))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (941:941:941) (795:795:795))
+ (PORT datac (1100:1100:1100) (1060:1060:1060))
+ (PORT datad (234:234:234) (244:244:244))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[2\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (931:931:931) (839:839:839))
+ (PORT datab (902:902:902) (799:799:799))
+ (PORT datac (801:801:801) (687:687:687))
+ (PORT datad (291:291:291) (323:323:323))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1667:1667:1667) (1686:1686:1686))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1708:1708:1708) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1274:1274:1274) (1160:1160:1160))
+ (PORT datab (1281:1281:1281) (1158:1158:1158))
+ (PORT datac (1199:1199:1199) (1092:1092:1092))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1649:1649:1649) (1671:1671:1671))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1690:1690:1690) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1267:1267:1267) (1151:1151:1151))
+ (PORT datad (1212:1212:1212) (1111:1111:1111))
+ (IOPATH dataa combout (374:374:374) (392:392:392))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1649:1649:1649) (1671:1671:1671))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1690:1690:1690) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1275:1275:1275) (1161:1161:1161))
+ (PORT datab (1281:1281:1281) (1159:1159:1159))
+ (PORT datac (1200:1200:1200) (1093:1093:1093))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1649:1649:1649) (1671:1671:1671))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1690:1690:1690) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (900:900:900) (843:843:843))
+ (PORT datab (877:877:877) (802:802:802))
+ (PORT datac (835:835:835) (774:774:774))
+ (PORT datad (848:848:848) (772:772:772))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (230:230:230) (237:237:237))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1649:1649:1649) (1671:1671:1671))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1690:1690:1690) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (972:972:972) (893:893:893))
+ (PORT datab (840:840:840) (759:759:759))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (881:881:881) (803:803:803))
+ (PORT datab (347:347:347) (404:404:404))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (972:972:972) (894:894:894))
+ (PORT datab (574:574:574) (531:531:531))
+ (PORT datad (313:313:313) (339:339:339))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1268:1268:1268) (1153:1153:1153))
+ (PORT datab (1276:1276:1276) (1152:1152:1152))
+ (PORT datac (1194:1194:1194) (1085:1085:1085))
+ (IOPATH dataa combout (394:394:394) (419:419:419))
+ (IOPATH datab combout (400:400:400) (431:431:431))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1649:1649:1649) (1671:1671:1671))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1690:1690:1690) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (900:900:900) (786:786:786))
+ (PORT datab (558:558:558) (474:474:474))
+ (PORT datac (257:257:257) (276:276:276))
+ (PORT datad (547:547:547) (523:523:523))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (548:548:548) (506:506:506))
+ (PORT datab (268:268:268) (276:276:276))
+ (PORT datac (225:225:225) (240:240:240))
+ (PORT datad (535:535:535) (511:511:511))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[1\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (930:930:930) (838:838:838))
+ (PORT datab (859:859:859) (759:759:759))
+ (PORT datac (804:804:804) (691:691:691))
+ (PORT datad (289:289:289) (321:321:321))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1667:1667:1667) (1686:1686:1686))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1708:1708:1708) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1662:1662:1662) (1681:1681:1681))
+ (PORT asdata (1357:1357:1357) (1273:1273:1273))
+ (PORT clrn (1703:1703:1703) (1658:1658:1658))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (898:898:898) (840:840:840))
+ (PORT datab (879:879:879) (805:805:805))
+ (PORT datac (833:833:833) (771:771:771))
+ (PORT datad (852:852:852) (777:777:777))
+ (IOPATH dataa combout (408:408:408) (450:450:450))
+ (IOPATH datab combout (415:415:415) (453:453:453))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (756:756:756) (628:628:628))
+ (PORT datad (853:853:853) (762:762:762))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (543:543:543) (448:448:448))
+ (PORT datab (269:269:269) (276:276:276))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (483:483:483) (416:416:416))
+ (PORT datab (471:471:471) (406:406:406))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (929:929:929) (837:837:837))
+ (PORT datac (806:806:806) (694:694:694))
+ (PORT datad (288:288:288) (320:320:320))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg1)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1667:1667:1667) (1686:1686:1686))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1708:1708:1708) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (1062:1062:1062) (944:944:944))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1657:1657:1657) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1699:1699:1699) (1655:1655:1655))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1661:1661:1661) (1680:1680:1680))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1702:1702:1702) (1657:1657:1657))
+ (PORT sclr (2383:2383:2383) (2704:2704:2704))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (336:336:336) (396:396:396))
+ (PORT datac (866:866:866) (752:752:752))
+ (PORT datad (539:539:539) (530:530:530))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (759:759:759) (633:633:633))
+ (PORT datab (504:504:504) (454:454:454))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1661:1661:1661) (1680:1680:1680))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1702:1702:1702) (1657:1657:1657))
+ (PORT sclr (2383:2383:2383) (2704:2704:2704))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (349:349:349) (412:412:412))
+ (PORT datab (369:369:369) (427:427:427))
+ (PORT datac (303:303:303) (369:369:369))
+ (PORT datad (297:297:297) (351:351:351))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (380:380:380) (446:446:446))
+ (PORT datab (277:277:277) (286:286:286))
+ (PORT datac (757:757:757) (629:629:629))
+ (PORT datad (853:853:853) (763:763:763))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (372:372:372) (430:430:430))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (563:563:563) (557:557:557))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (561:561:561) (515:515:515))
+ (PORT datab (290:290:290) (298:298:298))
+ (PORT datac (225:225:225) (241:241:241))
+ (PORT datad (469:469:469) (422:422:422))
+ (IOPATH dataa combout (392:392:392) (419:419:419))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1212:1212:1212) (1112:1112:1112))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1649:1649:1649) (1671:1671:1671))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1690:1690:1690) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (268:268:268) (280:280:280))
+ (PORT datab (573:573:573) (530:530:530))
+ (PORT datac (832:832:832) (746:746:746))
+ (PORT datad (933:933:933) (850:850:850))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (858:858:858) (753:753:753))
+ (PORT datab (268:268:268) (275:275:275))
+ (PORT datac (227:227:227) (243:243:243))
+ (PORT datad (863:863:863) (764:764:764))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (477:477:477) (418:418:418))
+ (PORT datab (749:749:749) (625:625:625))
+ (PORT datac (867:867:867) (754:754:754))
+ (PORT datad (227:227:227) (234:234:234))
+ (IOPATH dataa combout (420:420:420) (371:371:371))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (536:536:536) (439:439:439))
+ (PORT datad (227:227:227) (234:234:234))
+ (IOPATH dataa combout (435:435:435) (444:444:444))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1661:1661:1661) (1680:1680:1680))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1702:1702:1702) (1657:1657:1657))
+ (PORT sclr (2383:2383:2383) (2704:2704:2704))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (755:755:755) (630:630:630))
+ (PORT datab (755:755:755) (636:636:636))
+ (PORT datac (831:831:831) (746:746:746))
+ (PORT datad (562:562:562) (557:557:557))
+ (IOPATH dataa combout (375:375:375) (371:371:371))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1649:1649:1649) (1671:1671:1671))
+ (PORT asdata (1921:1921:1921) (1714:1714:1714))
+ (PORT clrn (1690:1690:1690) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (834:834:834) (727:727:727))
+ (PORT datab (573:573:573) (479:479:479))
+ (PORT datad (299:299:299) (354:354:354))
+ (IOPATH dataa combout (435:435:435) (419:419:419))
+ (IOPATH datab combout (437:437:437) (431:431:431))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (776:776:776) (668:668:668))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (270:270:270) (281:281:281))
+ (PORT datab (873:873:873) (783:783:783))
+ (PORT datac (584:584:584) (568:568:568))
+ (PORT datad (569:569:569) (557:557:557))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH datab combout (393:393:393) (431:431:431))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg1)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1695:1695:1695) (1652:1652:1652))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT asdata (700:700:700) (761:761:761))
+ (PORT clrn (1695:1695:1695) (1652:1652:1652))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1674:1674:1674))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (2267:2267:2267) (2031:2031:2031))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (PORT sload (1825:1825:1825) (1988:1988:1988))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1280:1280:1280) (1157:1157:1157))
+ (PORT datac (1199:1199:1199) (1091:1091:1091))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1649:1649:1649) (1671:1671:1671))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1690:1690:1690) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (974:974:974) (896:896:896))
+ (PORT datab (353:353:353) (375:375:375))
+ (PORT datac (857:857:857) (748:748:748))
+ (PORT datad (494:494:494) (478:478:478))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (835:835:835) (704:704:704))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1674:1674:1674))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (2269:2269:2269) (2033:2033:2033))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (PORT sload (1825:1825:1825) (1988:1988:1988))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (323:323:323) (383:383:383))
+ (PORT datab (321:321:321) (375:375:375))
+ (PORT datad (1509:1509:1509) (1301:1301:1301))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1640:1640:1640) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1553:1553:1553) (1346:1346:1346))
+ (PORT datab (323:323:323) (378:378:378))
+ (PORT datad (279:279:279) (334:334:334))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1640:1640:1640) (1661:1661:1661))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1648:1648:1648) (1670:1670:1670))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (2606:2606:2606) (2308:2308:2308))
+ (PORT clrn (1690:1690:1690) (1647:1647:1647))
+ (PORT sload (2236:2236:2236) (2493:2493:2493))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (324:324:324) (384:384:384))
+ (PORT datac (1182:1182:1182) (1035:1035:1035))
+ (PORT datad (1243:1243:1243) (1137:1137:1137))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1664:1664:1664))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1606:1606:1606) (1577:1577:1577))
+ (PORT D (1341:1341:1341) (1236:1236:1236))
+ (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (513:513:513))
+ (HOLD D (negedge ENA) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1606:1606:1606) (1578:1578:1578))
+ (PORT d (1657:1657:1657) (1503:1503:1503))
+ (IOPATH (posedge clk) q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (104:104:104))
+ (HOLD d (posedge clk) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1764:1764:1764) (1749:1749:1749))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (491:491:491) (503:503:503))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (491:491:491))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~30)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (852:852:852) (746:746:746))
+ (PORT datab (844:844:844) (699:699:699))
+ (PORT datac (878:878:878) (769:769:769))
+ (PORT datad (829:829:829) (718:718:718))
+ (IOPATH dataa combout (392:392:392) (419:419:419))
+ (IOPATH datab combout (393:393:393) (431:431:431))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (269:269:269) (281:281:281))
+ (PORT datab (846:846:846) (700:700:700))
+ (PORT datac (807:807:807) (707:707:707))
+ (PORT datad (832:832:832) (722:722:722))
+ (IOPATH dataa combout (420:420:420) (371:371:371))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~31)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (269:269:269) (281:281:281))
+ (PORT datab (269:269:269) (275:275:275))
+ (PORT datad (235:235:235) (247:247:247))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1669:1669:1669) (1688:1688:1688))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1710:1710:1710) (1665:1665:1665))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[6\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (930:930:930) (839:839:839))
+ (PORT datab (798:798:798) (710:710:710))
+ (PORT datac (803:803:803) (690:690:690))
+ (PORT datad (290:290:290) (322:322:322))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1667:1667:1667) (1686:1686:1686))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1708:1708:1708) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_x\[10\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (968:968:968) (848:848:848))
+ (PORT datac (840:840:840) (717:717:717))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~23)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (478:478:478) (420:420:420))
+ (PORT datab (868:868:868) (763:763:763))
+ (PORT datac (823:823:823) (721:721:721))
+ (PORT datad (837:837:837) (734:734:734))
+ (IOPATH dataa combout (420:420:420) (371:371:371))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan10\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (957:957:957) (837:837:837))
+ (PORT datab (869:869:869) (765:765:765))
+ (PORT datac (246:246:246) (269:269:269))
+ (PORT datad (839:839:839) (736:736:736))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~25)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (270:270:270) (282:282:282))
+ (PORT datab (266:266:266) (273:273:273))
+ (PORT datac (247:247:247) (263:263:263))
+ (PORT datad (250:250:250) (258:258:258))
+ (IOPATH dataa combout (349:349:349) (377:377:377))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[9\]\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (961:961:961) (841:841:841))
+ (PORT datad (828:828:828) (727:727:727))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[9\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (287:287:287) (304:304:304))
+ (PORT datab (901:901:901) (775:775:775))
+ (PORT datac (843:843:843) (720:720:720))
+ (PORT datad (226:226:226) (234:234:234))
+ (IOPATH dataa combout (375:375:375) (371:371:371))
+ (IOPATH datab combout (384:384:384) (386:386:386))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~36)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (620:620:620) (542:542:542))
+ (PORT datab (492:492:492) (428:428:428))
+ (PORT datac (804:804:804) (653:653:653))
+ (PORT datad (780:780:780) (656:656:656))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~21)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (933:933:933) (802:802:802))
+ (PORT datab (278:278:278) (288:288:288))
+ (PORT datac (244:244:244) (265:265:265))
+ (PORT datad (244:244:244) (258:258:258))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (423:423:423) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~28)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (737:737:737) (610:610:610))
+ (PORT datab (536:536:536) (443:443:443))
+ (PORT datac (233:233:233) (251:251:251))
+ (PORT datad (771:771:771) (625:625:625))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (423:423:423) (391:391:391))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1668:1668:1668) (1687:1687:1687))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1709:1709:1709) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (928:928:928) (836:836:836))
+ (PORT datab (804:804:804) (738:738:738))
+ (PORT datac (811:811:811) (698:698:698))
+ (PORT datad (286:286:286) (318:318:318))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1667:1667:1667) (1686:1686:1686))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1708:1708:1708) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~26)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (734:734:734) (607:607:607))
+ (PORT datab (535:535:535) (442:442:442))
+ (PORT datac (232:232:232) (249:249:249))
+ (PORT datad (773:773:773) (627:627:627))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (423:423:423) (391:391:391))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~27)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (496:496:496) (432:432:432))
+ (PORT datab (492:492:492) (428:428:428))
+ (PORT datac (224:224:224) (239:239:239))
+ (PORT datad (271:271:271) (289:289:289))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1668:1668:1668) (1687:1687:1687))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1709:1709:1709) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[10\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (930:930:930) (838:838:838))
+ (PORT datab (591:591:591) (542:542:542))
+ (PORT datac (805:805:805) (692:692:692))
+ (PORT datad (289:289:289) (321:321:321))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1667:1667:1667) (1686:1686:1686))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1708:1708:1708) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (970:970:970) (903:903:903))
+ (PORT datab (931:931:931) (857:857:857))
+ (PORT datac (861:861:861) (795:795:795))
+ (PORT datad (877:877:877) (832:832:832))
+ (IOPATH dataa combout (394:394:394) (419:419:419))
+ (IOPATH datab combout (400:400:400) (431:431:431))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1677:1677:1677))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1697:1697:1697) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (974:974:974) (907:907:907))
+ (PORT datab (935:935:935) (862:862:862))
+ (PORT datac (855:855:855) (788:788:788))
+ (PORT datad (877:877:877) (833:833:833))
+ (IOPATH dataa combout (435:435:435) (444:444:444))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1677:1677:1677))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1697:1697:1697) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (973:973:973) (907:907:907))
+ (PORT datab (935:935:935) (862:862:862))
+ (PORT datac (855:855:855) (789:789:789))
+ (PORT datad (877:877:877) (833:833:833))
+ (IOPATH dataa combout (394:394:394) (419:419:419))
+ (IOPATH datab combout (400:400:400) (431:431:431))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1677:1677:1677))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1697:1697:1697) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (384:384:384) (452:452:452))
+ (PORT datab (359:359:359) (420:420:420))
+ (PORT datac (310:310:310) (379:379:379))
+ (PORT datad (311:311:311) (372:372:372))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (384:384:384) (398:398:398))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (381:381:381) (448:448:448))
+ (PORT datab (353:353:353) (414:414:414))
+ (PORT datac (314:314:314) (383:383:383))
+ (PORT datad (313:313:313) (375:375:375))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (971:971:971) (904:904:904))
+ (PORT datab (932:932:932) (859:859:859))
+ (PORT datac (859:859:859) (793:793:793))
+ (PORT datad (877:877:877) (832:832:832))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1677:1677:1677))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1697:1697:1697) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (940:940:940) (876:876:876))
+ (PORT datab (267:267:267) (274:274:274))
+ (PORT datac (225:225:225) (240:240:240))
+ (PORT datad (298:298:298) (353:353:353))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add5\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (543:543:543) (526:526:526))
+ (PORT datab (591:591:591) (541:541:541))
+ (PORT datac (226:226:226) (241:241:241))
+ (PORT datad (754:754:754) (679:679:679))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1667:1667:1667) (1686:1686:1686))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1708:1708:1708) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1677:1677:1677))
+ (PORT asdata (1353:1353:1353) (1289:1289:1289))
+ (PORT clrn (1697:1697:1697) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (926:926:926) (864:864:864))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1677:1677:1677))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1697:1697:1697) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (865:865:865) (757:757:757))
+ (PORT datab (841:841:841) (778:778:778))
+ (PORT datad (474:474:474) (410:410:410))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1650:1650:1650) (1672:1672:1672))
+ (PORT asdata (1764:1764:1764) (1659:1659:1659))
+ (PORT clrn (1691:1691:1691) (1648:1648:1648))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1139:1139:1139) (974:974:974))
+ (PORT datab (1306:1306:1306) (1129:1129:1129))
+ (PORT datad (797:797:797) (696:696:696))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (573:573:573) (564:564:564))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (573:573:573) (561:561:561))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (524:524:524) (516:516:516))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (574:574:574) (561:561:561))
+ (IOPATH dataa combout (420:420:420) (400:400:400))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (525:525:525) (517:517:517))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (601:601:601) (522:522:522))
+ (PORT datab (268:268:268) (275:275:275))
+ (PORT datac (850:850:850) (729:729:729))
+ (PORT datad (227:227:227) (235:235:235))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (423:423:423) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (932:932:932) (859:859:859))
+ (PORT datac (924:924:924) (862:862:862))
+ (PORT datad (877:877:877) (832:832:832))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1677:1677:1677))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1697:1697:1697) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (273:273:273) (285:285:285))
+ (PORT datab (924:924:924) (844:844:844))
+ (PORT datac (851:851:851) (743:743:743))
+ (PORT datad (228:228:228) (236:236:236))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (859:859:859) (740:740:740))
+ (PORT datab (879:879:879) (740:740:740))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (879:879:879) (738:738:738))
+ (PORT datab (863:863:863) (729:729:729))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (759:759:759) (620:620:620))
+ (PORT datab (541:541:541) (452:452:452))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (731:731:731) (588:588:588))
+ (PORT datab (479:479:479) (418:418:418))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (843:843:843) (728:728:728))
+ (PORT datad (438:438:438) (376:376:376))
+ (IOPATH dataa combout (435:435:435) (444:444:444))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1675:1675:1675))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (PORT sclr (1712:1712:1712) (1898:1898:1898))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1675:1675:1675))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (PORT sclr (1712:1712:1712) (1898:1898:1898))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (340:340:340) (400:400:400))
+ (PORT datab (339:339:339) (394:394:394))
+ (PORT datac (296:296:296) (359:359:359))
+ (PORT datad (297:297:297) (352:352:352))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (277:277:277) (286:286:286))
+ (PORT datad (306:306:306) (366:366:366))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (269:269:269) (281:281:281))
+ (PORT datab (268:268:268) (275:275:275))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1675:1675:1675))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (PORT sclr (1712:1712:1712) (1898:1898:1898))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (271:271:271) (283:283:283))
+ (PORT datab (959:959:959) (825:825:825))
+ (PORT datac (486:486:486) (414:414:414))
+ (PORT datad (1112:1112:1112) (943:943:943))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (270:270:270) (282:282:282))
+ (PORT datab (266:266:266) (273:273:273))
+ (PORT datac (438:438:438) (384:384:384))
+ (PORT datad (1112:1112:1112) (943:943:943))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1675:1675:1675))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (PORT sclr (1712:1712:1712) (1898:1898:1898))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (596:596:596) (516:516:516))
+ (PORT datab (1653:1653:1653) (1436:1436:1436))
+ (PORT datac (850:850:850) (742:742:742))
+ (PORT datad (226:226:226) (233:233:233))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (902:902:902) (776:776:776))
+ (PORT datab (270:270:270) (277:277:277))
+ (PORT datac (225:225:225) (240:240:240))
+ (IOPATH dataa combout (420:420:420) (371:371:371))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1675:1675:1675))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (PORT sclr (1712:1712:1712) (1898:1898:1898))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (877:877:877) (743:743:743))
+ (PORT datab (346:346:346) (404:404:404))
+ (PORT datac (934:934:934) (859:859:859))
+ (PORT datad (232:232:232) (243:243:243))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (384:384:384) (398:398:398))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (372:372:372) (446:446:446))
+ (PORT datab (317:317:317) (333:333:333))
+ (PORT datad (863:863:863) (762:762:762))
+ (IOPATH dataa combout (377:377:377) (380:380:380))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (461:461:461) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1678:1678:1678))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (1304:1304:1304) (1253:1253:1253))
+ (PORT clrn (1697:1697:1697) (1654:1654:1654))
+ (PORT sload (1505:1505:1505) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (323:323:323) (383:383:383))
+ (PORT datab (330:330:330) (388:388:388))
+ (PORT datad (1524:1524:1524) (1334:1334:1334))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1567:1567:1567) (1382:1382:1382))
+ (PORT datab (331:331:331) (389:389:389))
+ (PORT datac (492:492:492) (475:475:475))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1655:1655:1655) (1678:1678:1678))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (1304:1304:1304) (1252:1252:1252))
+ (PORT clrn (1697:1697:1697) (1654:1654:1654))
+ (PORT sload (1505:1505:1505) (1653:1653:1653))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (325:325:325) (385:385:385))
+ (PORT datab (324:324:324) (379:379:379))
+ (PORT datad (1529:1529:1529) (1340:1340:1340))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1609:1609:1609) (1580:1580:1580))
+ (PORT D (1389:1389:1389) (1286:1286:1286))
+ (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (513:513:513))
+ (HOLD D (negedge ENA) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1609:1609:1609) (1581:1581:1581))
+ (PORT d (1480:1480:1480) (1387:1387:1387))
+ (IOPATH (posedge clk) q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (104:104:104))
+ (HOLD d (posedge clk) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1767:1767:1767) (1752:1752:1752))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (491:491:491) (503:503:503))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (491:491:491))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1178:1178:1178) (961:961:961))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1654:1654:1654) (1676:1676:1676))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (2243:2243:2243) (1986:1986:1986))
+ (PORT clrn (1685:1685:1685) (1640:1640:1640))
+ (PORT sload (1565:1565:1565) (1671:1671:1671))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (361:361:361) (429:429:429))
+ (PORT datab (276:276:276) (286:286:286))
+ (PORT datac (317:317:317) (387:387:387))
+ (PORT datad (550:550:550) (559:559:559))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg1)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1664:1664:1664) (1684:1684:1684))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1694:1694:1694) (1647:1647:1647))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (837:837:837) (766:766:766))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1674:1674:1674))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1166:1166:1166) (981:981:981))
+ (PORT datab (352:352:352) (411:411:411))
+ (PORT datac (1844:1844:1844) (1610:1610:1610))
+ (PORT datad (1203:1203:1203) (1094:1094:1094))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1652:1652:1652) (1674:1674:1674))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1682:1682:1682) (1638:1638:1638))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1305:1305:1305) (1176:1176:1176))
+ (PORT datad (810:810:810) (714:714:714))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1664:1664:1664))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (333:333:333) (397:397:397))
+ (PORT datab (1304:1304:1304) (1174:1174:1174))
+ (PORT datad (279:279:279) (334:334:334))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1664:1664:1664))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (333:333:333) (397:397:397))
+ (PORT datab (320:320:320) (375:375:375))
+ (PORT datad (1242:1242:1242) (1135:1135:1135))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1664:1664:1664))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (959:959:959) (856:856:856))
+ (PORT datab (321:321:321) (376:376:376))
+ (PORT datad (1243:1243:1243) (1137:1137:1137))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1664:1664:1664))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (322:322:322) (377:377:377))
+ (PORT datac (279:279:279) (343:343:343))
+ (PORT datad (1241:1241:1241) (1134:1134:1134))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1664:1664:1664))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~37)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (618:618:618) (541:541:541))
+ (PORT datab (276:276:276) (286:286:286))
+ (PORT datac (744:744:744) (619:619:619))
+ (PORT datad (801:801:801) (684:684:684))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (615:615:615) (536:536:536))
+ (PORT datac (495:495:495) (424:424:424))
+ (PORT datad (783:783:783) (660:660:660))
+ (IOPATH dataa combout (420:420:420) (400:400:400))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~33)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (275:275:275) (285:285:285))
+ (PORT datac (703:703:703) (580:580:580))
+ (PORT datad (246:246:246) (262:262:262))
+ (IOPATH datab combout (423:423:423) (391:391:391))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[13\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1668:1668:1668) (1687:1687:1687))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1709:1709:1709) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~32)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (549:549:549) (461:461:461))
+ (PORT datab (275:275:275) (285:285:285))
+ (PORT datac (704:704:704) (583:583:583))
+ (PORT datad (272:272:272) (289:289:289))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[15\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1668:1668:1668) (1687:1687:1687))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1709:1709:1709) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (566:566:566) (474:474:474))
+ (PORT datab (538:538:538) (516:516:516))
+ (PORT datac (809:809:809) (696:696:696))
+ (PORT datad (529:529:529) (499:499:499))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1667:1667:1667) (1686:1686:1686))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1708:1708:1708) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1126:1126:1126) (1006:1006:1006))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1658:1658:1658) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[13\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (929:929:929) (837:837:837))
+ (PORT datab (537:537:537) (516:516:516))
+ (PORT datac (807:807:807) (695:695:695))
+ (PORT datad (288:288:288) (319:319:319))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1667:1667:1667) (1686:1686:1686))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1708:1708:1708) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[12\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (931:931:931) (839:839:839))
+ (PORT datab (588:588:588) (538:538:538))
+ (PORT datac (801:801:801) (688:688:688))
+ (PORT datad (291:291:291) (323:323:323))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1667:1667:1667) (1686:1686:1686))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1708:1708:1708) (1663:1663:1663))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1166:1166:1166) (1050:1050:1050))
+ (PORT datac (1128:1128:1128) (997:997:997))
+ (PORT datad (1174:1174:1174) (1036:1036:1036))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1658:1658:1658) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1167:1167:1167) (1051:1051:1051))
+ (PORT datad (1176:1176:1176) (1038:1038:1038))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1658:1658:1658) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1167:1167:1167) (1051:1051:1051))
+ (PORT datac (1125:1125:1125) (994:994:994))
+ (PORT datad (1176:1176:1176) (1038:1038:1038))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1658:1658:1658) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (363:363:363) (432:432:432))
+ (PORT datab (359:359:359) (420:420:420))
+ (PORT datac (313:313:313) (382:382:382))
+ (PORT datad (312:312:312) (374:374:374))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (444:444:444) (380:380:380))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1658:1658:1658) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (361:361:361) (430:430:430))
+ (PORT datab (357:357:357) (418:418:418))
+ (PORT datac (312:312:312) (382:382:382))
+ (PORT datad (312:312:312) (374:374:374))
+ (IOPATH dataa combout (414:414:414) (450:450:450))
+ (IOPATH datab combout (423:423:423) (453:453:453))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (829:829:829) (727:727:727))
+ (PORT datab (1155:1155:1155) (939:939:939))
+ (PORT datac (1175:1175:1175) (1037:1037:1037))
+ (PORT datad (915:915:915) (842:842:842))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1658:1658:1658) (1679:1679:1679))
+ (PORT asdata (1523:1523:1523) (1384:1384:1384))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (649:649:649) (609:609:609))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1168:1168:1168) (1052:1052:1052))
+ (PORT datac (1130:1130:1130) (999:999:999))
+ (PORT datad (1175:1175:1175) (1037:1037:1037))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1658:1658:1658) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (829:829:829) (752:752:752))
+ (PORT datab (875:875:875) (776:776:776))
+ (IOPATH dataa combout (420:420:420) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (423:423:423) (453:453:453))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (870:870:870) (783:783:783))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (844:844:844) (754:754:754))
+ (PORT datab (837:837:837) (699:699:699))
+ (PORT datac (772:772:772) (612:612:612))
+ (PORT datad (228:228:228) (236:236:236))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (423:423:423) (425:425:425))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (821:821:821) (740:740:740))
+ (PORT datab (840:840:840) (687:687:687))
+ (PORT datad (315:315:315) (378:378:378))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (667:667:667) (625:625:625))
+ (PORT datab (629:629:629) (581:581:581))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (781:781:781) (649:649:649))
+ (PORT datab (799:799:799) (723:723:723))
+ (PORT datac (225:225:225) (240:240:240))
+ (PORT datad (724:724:724) (607:607:607))
+ (IOPATH dataa combout (435:435:435) (444:444:444))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (664:664:664) (621:621:621))
+ (PORT datab (634:634:634) (586:586:586))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (921:921:921) (802:802:802))
+ (PORT datab (267:267:267) (274:274:274))
+ (PORT datac (776:776:776) (636:636:636))
+ (PORT datad (230:230:230) (238:238:238))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (481:481:481) (425:425:425))
+ (PORT datab (267:267:267) (274:274:274))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (551:551:551) (461:461:461))
+ (PORT datab (471:471:471) (406:406:406))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1659:1659:1659) (1680:1680:1680))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (PORT sclr (1358:1358:1358) (1500:1500:1500))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (340:340:340) (399:399:399))
+ (PORT datab (353:353:353) (413:413:413))
+ (PORT datac (318:318:318) (381:381:381))
+ (PORT datad (304:304:304) (363:363:363))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (787:787:787) (689:689:689))
+ (PORT datad (916:916:916) (842:842:842))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (881:881:881) (740:740:740))
+ (PORT datab (904:904:904) (746:746:746))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1659:1659:1659) (1680:1680:1680))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (PORT sclr (1358:1358:1358) (1500:1500:1500))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (907:907:907) (787:787:787))
+ (PORT datab (563:563:563) (539:539:539))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (826:826:826) (749:749:749))
+ (PORT datab (876:876:876) (778:778:778))
+ (IOPATH dataa combout (414:414:414) (450:450:450))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (423:423:423) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (841:841:841) (751:751:751))
+ (PORT datab (268:268:268) (275:275:275))
+ (PORT datac (225:225:225) (240:240:240))
+ (PORT datad (779:779:779) (662:662:662))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (537:537:537) (440:440:440))
+ (PORT datab (268:268:268) (275:275:275))
+ (PORT datac (800:800:800) (689:689:689))
+ (PORT datad (438:438:438) (375:375:375))
+ (IOPATH dataa combout (420:420:420) (400:400:400))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (742:742:742) (612:612:612))
+ (PORT datab (267:267:267) (274:274:274))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1659:1659:1659) (1680:1680:1680))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (PORT sclr (1358:1358:1358) (1500:1500:1500))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (824:824:824) (752:752:752))
+ (PORT datab (363:363:363) (419:419:419))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (870:870:870) (783:783:783))
+ (IOPATH dataa combout (420:420:420) (400:400:400))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (840:840:840) (750:750:750))
+ (PORT datab (267:267:267) (274:274:274))
+ (PORT datac (478:478:478) (402:402:402))
+ (PORT datad (227:227:227) (234:234:234))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (271:271:271) (283:283:283))
+ (PORT datab (536:536:536) (446:446:446))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1659:1659:1659) (1680:1680:1680))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (PORT sclr (1358:1358:1358) (1500:1500:1500))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (858:858:858) (753:753:753))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (857:857:857) (751:751:751))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (311:311:311) (330:330:330))
+ (PORT datab (480:480:480) (418:418:418))
+ (PORT datac (759:759:759) (636:636:636))
+ (PORT datad (446:446:446) (387:387:387))
+ (IOPATH dataa combout (428:428:428) (449:449:449))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (307:307:307) (366:366:366))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (858:858:858) (752:752:752))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (837:837:837) (746:746:746))
+ (PORT datab (737:737:737) (616:616:616))
+ (PORT datac (433:433:433) (377:377:377))
+ (PORT datad (783:783:783) (667:667:667))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (843:843:843) (752:752:752))
+ (PORT datab (527:527:527) (431:431:431))
+ (PORT datac (225:225:225) (240:240:240))
+ (PORT datad (227:227:227) (235:235:235))
+ (IOPATH dataa combout (420:420:420) (400:400:400))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (790:790:790) (634:634:634))
+ (PORT datad (475:475:475) (401:401:401))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1659:1659:1659) (1680:1680:1680))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1700:1700:1700) (1656:1656:1656))
+ (PORT sclr (1358:1358:1358) (1500:1500:1500))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sclr (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (269:269:269) (281:281:281))
+ (PORT datab (266:266:266) (273:273:273))
+ (PORT datac (494:494:494) (481:481:481))
+ (PORT datad (825:825:825) (712:712:712))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (377:377:377) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (932:932:932) (770:770:770))
+ (PORT datab (636:636:636) (633:633:633))
+ (PORT datad (498:498:498) (450:450:450))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1657:1657:1657) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT asdata (1629:1629:1629) (1486:1486:1486))
+ (PORT clrn (1699:1699:1699) (1655:1655:1655))
+ (PORT sload (1108:1108:1108) (1101:1101:1101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ (HOLD sload (posedge clk) (195:195:195))
+ (HOLD asdata (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (358:358:358) (419:419:419))
+ (PORT datac (1198:1198:1198) (1057:1057:1057))
+ (PORT datad (593:593:593) (593:593:593))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1657:1657:1657) (1679:1679:1679))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1699:1699:1699) (1655:1655:1655))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (320:320:320) (374:374:374))
+ (PORT datad (1205:1205:1205) (1077:1077:1077))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (325:325:325) (384:384:384))
+ (PORT datac (279:279:279) (343:343:343))
+ (PORT datad (1210:1210:1210) (1084:1084:1084))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (323:323:323) (383:383:383))
+ (PORT datac (278:278:278) (341:341:341))
+ (PORT datad (1207:1207:1207) (1080:1080:1080))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (322:322:322) (382:382:382))
+ (PORT datab (321:321:321) (376:376:376))
+ (PORT datad (1211:1211:1211) (1085:1085:1085))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (320:320:320) (375:375:375))
+ (PORT datac (496:496:496) (476:476:476))
+ (PORT datad (1212:1212:1212) (1086:1086:1086))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1611:1611:1611) (1583:1583:1583))
+ (PORT D (1366:1366:1366) (1258:1258:1258))
+ (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (513:513:513))
+ (HOLD D (negedge ENA) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1611:1611:1611) (1584:1584:1584))
+ (PORT d (1828:1828:1828) (1651:1651:1651))
+ (IOPATH (posedge clk) q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (104:104:104))
+ (HOLD d (posedge clk) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1769:1769:1769) (1755:1755:1755))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (491:491:491) (503:503:503))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (491:491:491))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1606:1606:1606) (1577:1577:1577))
+ (PORT D (1178:1178:1178) (1399:1399:1399))
+ (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (513:513:513))
+ (HOLD D (negedge ENA) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1606:1606:1606) (1578:1578:1578))
+ (PORT d (1435:1435:1435) (1725:1725:1725))
+ (IOPATH (posedge clk) q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (104:104:104))
+ (HOLD d (posedge clk) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1764:1764:1764) (1749:1749:1749))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (491:491:491) (503:503:503))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (491:491:491))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1609:1609:1609) (1580:1580:1580))
+ (PORT D (1228:1228:1228) (1447:1447:1447))
+ (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (513:513:513))
+ (HOLD D (negedge ENA) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1609:1609:1609) (1581:1581:1581))
+ (PORT d (1319:1319:1319) (1548:1548:1548))
+ (IOPATH (posedge clk) q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (104:104:104))
+ (HOLD d (posedge clk) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1767:1767:1767) (1752:1752:1752))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (491:491:491) (503:503:503))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (491:491:491))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1611:1611:1611) (1583:1583:1583))
+ (PORT D (1200:1200:1200) (1424:1424:1424))
+ (IOPATH (negedge ENA) Q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (513:513:513))
+ (HOLD D (negedge ENA) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1611:1611:1611) (1584:1584:1584))
+ (PORT d (1583:1583:1583) (1896:1896:1896))
+ (IOPATH (posedge clk) q (213:213:213) (213:213:213))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (104:104:104))
+ (HOLD d (posedge clk) (112:112:112))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1769:1769:1769) (1755:1755:1755))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (491:491:491) (503:503:503))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (491:491:491))
+ )
+ )
+)
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo
index 0315695..7223147 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo
@@ -1,11443 +1,11443 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-// VENDOR "Altera"
-// PROGRAM "Quartus II 64-Bit"
-// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version"
-
-// DATE "06/02/2023 04:17:19"
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This Verilog file should be used for ModelSim (Verilog) only
-//
-
-`timescale 1 ps/ 1 ps
-
-module hdmi_colorbar (
- sys_clk,
- sys_rst_n,
- ddc_scl,
- ddc_sda,
- tmds_clk_p,
- tmds_clk_n,
- tmds_data_p,
- tmds_data_n);
-input sys_clk;
-input sys_rst_n;
-output ddc_scl;
-output ddc_sda;
-output tmds_clk_p;
-output tmds_clk_n;
-output [2:0] tmds_data_p;
-output [2:0] tmds_data_n;
-
-// Design Ports Information
-// ddc_scl => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default
-// ddc_sda => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_clk_p => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_clk_n => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_p[0] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_p[1] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_p[2] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_n[0] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_n[1] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_n[2] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default
-// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
-// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
-
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-tri1 devclrn;
-tri1 devpor;
-tri1 devoe;
-// synopsys translate_off
-initial $sdf_annotate("hdmi_colorbar_8_1200mv_85c_v_slow.sdo");
-// synopsys translate_on
-
-wire \hdmi_ctrl_inst|encode_inst0|Add20~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~7 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~7 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~7 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~7 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~7 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~6_combout ;
-wire \vga_ctrl_inst|Add0~2_combout ;
-wire \vga_ctrl_inst|Add0~10_combout ;
-wire \vga_ctrl_inst|Add1~2_combout ;
-wire \vga_ctrl_inst|Add1~6_combout ;
-wire \vga_ctrl_inst|Add1~8_combout ;
-wire \vga_ctrl_inst|Add1~10_combout ;
-wire \vga_ctrl_inst|Add1~12_combout ;
-wire \vga_ctrl_inst|Add1~14_combout ;
-wire \vga_ctrl_inst|Add1~16_combout ;
-wire \vga_ctrl_inst|Add1~18_combout ;
-wire \vga_ctrl_inst|Add1~20_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~16_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add12~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~14_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~16_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~10_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~16_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add14~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~3_combout ;
-wire \vga_ctrl_inst|pix_data_req~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~5_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~5_combout ;
-wire \vga_ctrl_inst|pix_x[10]~1_combout ;
-wire \vga_pic_inst|always0~1_combout ;
-wire \vga_pic_inst|always0~2_combout ;
-wire \vga_pic_inst|pix_data[9]~14_combout ;
-wire \vga_pic_inst|pix_data~16_combout ;
-wire \vga_ctrl_inst|pix_data_req~8_combout ;
-wire \vga_ctrl_inst|cnt_v[10]~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ;
-wire \vga_pic_inst|LessThan10~0_combout ;
-wire \vga_pic_inst|pix_data~22_combout ;
-wire \vga_pic_inst|pix_data~23_combout ;
-wire \vga_pic_inst|LessThan14~1_combout ;
-wire \vga_pic_inst|pix_data[13]~24_combout ;
-wire \vga_pic_inst|pix_data~25_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~5_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~8_combout ;
-wire \vga_pic_inst|pix_data~37_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ;
-wire \sys_clk~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~0_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
-wire \sys_rst_n~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
-wire \rst_n~0_combout ;
-wire \rst_n~0clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~1 ;
-wire \vga_ctrl_inst|Add0~3 ;
-wire \vga_ctrl_inst|Add0~5 ;
-wire \vga_ctrl_inst|Add0~6_combout ;
-wire \vga_ctrl_inst|Add0~7 ;
-wire \vga_ctrl_inst|Add0~8_combout ;
-wire \vga_ctrl_inst|Add0~9 ;
-wire \vga_ctrl_inst|Add0~11 ;
-wire \vga_ctrl_inst|Add0~12_combout ;
-wire \vga_ctrl_inst|Add0~13 ;
-wire \vga_ctrl_inst|Add0~14_combout ;
-wire \vga_ctrl_inst|Add0~4_combout ;
-wire \vga_ctrl_inst|Equal0~0_combout ;
-wire \vga_ctrl_inst|Add0~15 ;
-wire \vga_ctrl_inst|Add0~16_combout ;
-wire \vga_ctrl_inst|Add0~19 ;
-wire \vga_ctrl_inst|Add0~20_combout ;
-wire \vga_ctrl_inst|Add0~21 ;
-wire \vga_ctrl_inst|Add0~22_combout ;
-wire \vga_ctrl_inst|Equal0~1_combout ;
-wire \vga_ctrl_inst|cnt_h~0_combout ;
-wire \vga_ctrl_inst|Equal0~2_combout ;
-wire \vga_ctrl_inst|cnt_h~2_combout ;
-wire \vga_ctrl_inst|Add0~17 ;
-wire \vga_ctrl_inst|Add0~18_combout ;
-wire \vga_ctrl_inst|cnt_h~1_combout ;
-wire \vga_ctrl_inst|LessThan4~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ;
-wire \vga_ctrl_inst|Add2~1_cout ;
-wire \vga_ctrl_inst|Add2~3_cout ;
-wire \vga_ctrl_inst|Add2~5_cout ;
-wire \vga_ctrl_inst|Add2~7_cout ;
-wire \vga_ctrl_inst|Add2~9_cout ;
-wire \vga_ctrl_inst|Add2~11 ;
-wire \vga_ctrl_inst|Add2~13 ;
-wire \vga_ctrl_inst|Add2~15 ;
-wire \vga_ctrl_inst|Add2~17 ;
-wire \vga_ctrl_inst|Add2~18_combout ;
-wire \vga_ctrl_inst|Add2~16_combout ;
-wire \vga_ctrl_inst|Add2~14_combout ;
-wire \vga_pic_inst|pix_data~12_combout ;
-wire \vga_ctrl_inst|Add2~12_combout ;
-wire \vga_ctrl_inst|pix_data_req~5_combout ;
-wire \vga_ctrl_inst|Equal0~3_combout ;
-wire \vga_ctrl_inst|cnt_v[7]~7_combout ;
-wire \vga_ctrl_inst|cnt_v[5]~10_combout ;
-wire \vga_ctrl_inst|cnt_v[8]~6_combout ;
-wire \vga_ctrl_inst|always1~0_combout ;
-wire \vga_ctrl_inst|cnt_v[1]~1_combout ;
-wire \vga_ctrl_inst|cnt_v[4]~5_combout ;
-wire \vga_ctrl_inst|always1~1_combout ;
-wire \vga_ctrl_inst|Add1~0_combout ;
-wire \vga_ctrl_inst|cnt_v[0]~2_combout ;
-wire \vga_ctrl_inst|cnt_v[3]~3_combout ;
-wire \vga_ctrl_inst|always1~2_combout ;
-wire \vga_ctrl_inst|cnt_v[11]~0_combout ;
-wire \vga_ctrl_inst|cnt_v[9]~9_combout ;
-wire \vga_ctrl_inst|cnt_v[6]~8_combout ;
-wire \vga_ctrl_inst|Add1~1 ;
-wire \vga_ctrl_inst|Add1~3 ;
-wire \vga_ctrl_inst|Add1~4_combout ;
-wire \vga_ctrl_inst|cnt_v[2]~4_combout ;
-wire \vga_ctrl_inst|Add1~5 ;
-wire \vga_ctrl_inst|Add1~7 ;
-wire \vga_ctrl_inst|Add1~9 ;
-wire \vga_ctrl_inst|Add1~11 ;
-wire \vga_ctrl_inst|Add1~13 ;
-wire \vga_ctrl_inst|Add1~15 ;
-wire \vga_ctrl_inst|Add1~17 ;
-wire \vga_ctrl_inst|Add1~19 ;
-wire \vga_ctrl_inst|Add1~21 ;
-wire \vga_ctrl_inst|Add1~22_combout ;
-wire \vga_ctrl_inst|cnt_v[11]~11_combout ;
-wire \vga_ctrl_inst|pix_data_req~2_combout ;
-wire \vga_ctrl_inst|pix_data_req~4_combout ;
-wire \vga_ctrl_inst|pix_data_req~6_combout ;
-wire \vga_ctrl_inst|pix_data_req~7_combout ;
-wire \vga_pic_inst|pix_data[13]~11_combout ;
-wire \vga_pic_inst|always0~0_combout ;
-wire \vga_pic_inst|pix_data~13_combout ;
-wire \vga_pic_inst|pix_data~17_combout ;
-wire \vga_pic_inst|pix_data~34_combout ;
-wire \vga_pic_inst|pix_data[13]~8_combout ;
-wire \vga_pic_inst|pix_data[13]~9_combout ;
-wire \vga_pic_inst|pix_data[13]~10_combout ;
-wire \vga_pic_inst|pix_data~18_combout ;
-wire \vga_ctrl_inst|Add2~19 ;
-wire \vga_ctrl_inst|Add2~20_combout ;
-wire \vga_ctrl_inst|Add2~10_combout ;
-wire \vga_pic_inst|LessThan17~4_combout ;
-wire \vga_pic_inst|LessThan17~3_combout ;
-wire \vga_pic_inst|LessThan14~0_combout ;
-wire \vga_pic_inst|pix_data~19_combout ;
-wire \vga_pic_inst|pix_data~20_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add6~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ;
-wire \vga_ctrl_inst|LessThan6~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~1_combout ;
-wire \vga_ctrl_inst|rgb[1]~0_combout ;
-wire \vga_ctrl_inst|rgb[2]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add14~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~10_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add12~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add14~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~14_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add4~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|de_reg1~q ;
-wire \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|de_reg2~q ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_2~combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ;
-wire \vga_ctrl_inst|LessThan0~0_combout ;
-wire \vga_ctrl_inst|LessThan0~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c0_reg1~q ;
-wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~q ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ;
-wire \vga_ctrl_inst|LessThan1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c1_reg1~q ;
-wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~q ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~7_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ;
-wire \vga_pic_inst|LessThan17~2_combout ;
-wire \vga_pic_inst|pix_data[9]~15_combout ;
-wire \vga_pic_inst|pix_data~35_combout ;
-wire \vga_pic_inst|pix_data~36_combout ;
-wire \vga_pic_inst|pix_data~21_combout ;
-wire \vga_pic_inst|pix_data~26_combout ;
-wire \vga_ctrl_inst|pix_x[11]~0_combout ;
-wire \vga_pic_inst|pix_data~27_combout ;
-wire \vga_ctrl_inst|rgb[10]~2_combout ;
-wire \vga_pic_inst|pix_data~29_combout ;
-wire \vga_pic_inst|pix_data~30_combout ;
-wire \vga_pic_inst|pix_data~31_combout ;
-wire \vga_ctrl_inst|rgb[6]~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add5~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add14~1_combout ;
-wire \vga_pic_inst|pix_data~28_combout ;
-wire \vga_ctrl_inst|rgb[7]~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add13~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add13~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add14~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add14~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~10_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_2~combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~7_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ;
-wire \vga_pic_inst|pix_data~33_combout ;
-wire \vga_ctrl_inst|rgb[13]~6_combout ;
-wire \vga_pic_inst|pix_data~32_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add6~0_combout ;
-wire \vga_ctrl_inst|rgb[12]~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add12~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add14~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add12~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_2~combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~14_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~7 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~7_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ;
-wire [9:0] \hdmi_ctrl_inst|encode_inst0|data_out ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [11:0] \vga_ctrl_inst|cnt_v ;
-wire [11:0] \vga_ctrl_inst|cnt_h ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s ;
-wire [7:0] \hdmi_ctrl_inst|encode_inst0|data_in_reg ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [8:0] \hdmi_ctrl_inst|encode_inst0|q_m_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n1 ;
-wire [15:0] \vga_pic_inst|pix_data ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s ;
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst0|data_in_n1 ;
-wire [2:0] \hdmi_ctrl_inst|par_to_ser_inst0|cnt ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n0 ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [4:0] \hdmi_ctrl_inst|encode_inst0|cnt ;
-wire [4:0] \hdmi_ctrl_inst|encode_inst1|cnt ;
-wire [9:0] \hdmi_ctrl_inst|encode_inst1|data_out ;
-wire [8:0] \hdmi_ctrl_inst|encode_inst1|q_m_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n0 ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n1 ;
-wire [7:0] \hdmi_ctrl_inst|encode_inst1|data_in_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst1|data_in_n1 ;
-wire [4:0] \hdmi_ctrl_inst|encode_inst2|cnt ;
-wire [9:0] \hdmi_ctrl_inst|encode_inst2|data_out ;
-wire [8:0] \hdmi_ctrl_inst|encode_inst2|q_m_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n0 ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n1 ;
-wire [7:0] \hdmi_ctrl_inst|encode_inst2|data_in_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst2|data_in_n1 ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
-
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
-
-// Location: PLL_2
-cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
- .areset(!\sys_rst_n~input_o ),
- .pfdena(vcc),
- .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .phaseupdown(gnd),
- .phasestep(gnd),
- .scandata(gnd),
- .scanclk(gnd),
- .scanclkena(vcc),
- .configupdate(gnd),
- .clkswitch(gnd),
- .inclk({gnd,\sys_clk~input_o }),
- .phasecounterselect(3'b000),
- .phasedone(),
- .scandataout(),
- .scandone(),
- .activeclock(),
- .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .vcooverrange(),
- .vcounderrange(),
- .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
- .clkbad());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 10;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 10;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "even";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 5;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 10;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 6891;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 250;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N7
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N9
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N13
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add20~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .lut_mask = 16'h66BB;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// ((\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add20~1 ))))
-// \hdmi_ctrl_inst|encode_inst0|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add20~1 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add20~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add20~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .lut_mask = 16'h692B;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add20~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add20~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add20~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add20~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add20~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add17~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst0|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst0|Add17~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .lut_mask = 16'h3C3F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~7 )
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .lut_mask = 16'hC3C3;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add23~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .lut_mask = 16'h66DD;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// (\hdmi_ctrl_inst|encode_inst0|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
-// \hdmi_ctrl_inst|encode_inst0|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
-// ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add23~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add23~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .lut_mask = 16'h694D;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add23~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst0|Add23~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add23~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add23~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .lut_mask = 16'h5A05;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add23~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add23~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add15~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst0|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst0|Add15~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add15~5
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] &
-// ((!\hdmi_ctrl_inst|encode_inst0|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~7 )
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .lut_mask = 16'hC3C3;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add19~3 & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add19~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add19~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add19~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .lut_mask = 16'hA50A;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add22~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add22~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add22~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout &
-// (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout &
-// ((!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~12_combout &
-// (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout &
-// (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout &
-// ((!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N31
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add20~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .lut_mask = 16'h66BB;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add20~1 ))))
-// \hdmi_ctrl_inst|encode_inst1|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add20~1 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add20~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add20~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .lut_mask = 16'h692B;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add20~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add20~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add20~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .lut_mask = 16'h3CCF;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add20~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add20~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add17~1 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add17~1 )
-// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1
-// [2] & !\hdmi_ctrl_inst|encode_inst1|Add17~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~7 )
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .lut_mask = 16'hA5A5;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add23~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .lut_mask = 16'h66DD;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add23~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add23~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add23~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add23~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .lut_mask = 16'h3C03;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add23~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add23~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (\hdmi_ctrl_inst|encode_inst1|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|Add15~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & !\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst1|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add15~5 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((!\hdmi_ctrl_inst|encode_inst1|Add15~5 )
-// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~7 )
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .lut_mask = 16'hA5A5;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst1|cnt [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add19~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add19~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add19~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add19~3 & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add19~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add19~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add19~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .lut_mask = 16'hA50A;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~6_combout = \hdmi_ctrl_inst|encode_inst1|Add19~5 $ (\hdmi_ctrl_inst|encode_inst1|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add19~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC)))
-// \hdmi_ctrl_inst|encode_inst1|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst1|cnt [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add22~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .lut_mask = 16'h99EE;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
-// \hdmi_ctrl_inst|encode_inst1|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add22~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add22~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .lut_mask = 16'hA505;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add22~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add22~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add22~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add20~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .lut_mask = 16'h66BB;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add20~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add20~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add20~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .lut_mask = 16'h3CCF;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [0] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add17~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst2|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add17~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst2|Add17~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add23~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .lut_mask = 16'h66DD;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add23~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst2|Add23~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add23~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add23~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .lut_mask = 16'h3C03;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add15~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst2|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add15~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0
-// [2] & !\hdmi_ctrl_inst|encode_inst2|Add15~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add15~5
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] &
-// ((!\hdmi_ctrl_inst|encode_inst2|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~8_combout = \hdmi_ctrl_inst|encode_inst2|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add19~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add19~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .lut_mask = 16'h3C3F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add19~3 & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add19~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add19~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add19~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .lut_mask = 16'hA50A;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~6_combout = \hdmi_ctrl_inst|encode_inst2|Add19~5 $ (\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add19~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC)))
-// \hdmi_ctrl_inst|encode_inst2|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1]) # (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add22~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .lut_mask = 16'h99EE;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
-// \hdmi_ctrl_inst|encode_inst2|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|cnt [2] & !\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add22~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add22~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .lut_mask = 16'hC303;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add22~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add22~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add22~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~6_combout = \hdmi_ctrl_inst|encode_inst2|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add22~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N11
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y22_N19
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
-// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~1 ),
- .combout(\vga_ctrl_inst|Add0~2_combout ),
- .cout(\vga_ctrl_inst|Add0~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
-// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
-
- .dataa(\vga_ctrl_inst|cnt_h [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~9 ),
- .combout(\vga_ctrl_inst|Add0~10_combout ),
- .cout(\vga_ctrl_inst|Add0~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
-// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~1 ),
- .combout(\vga_ctrl_inst|Add1~2_combout ),
- .cout(\vga_ctrl_inst|Add1~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
-// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
-
- .dataa(\vga_ctrl_inst|cnt_v [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~5 ),
- .combout(\vga_ctrl_inst|Add1~6_combout ),
- .cout(\vga_ctrl_inst|Add1~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
-// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~7 ),
- .combout(\vga_ctrl_inst|Add1~8_combout ),
- .cout(\vga_ctrl_inst|Add1~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
-// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
-
- .dataa(\vga_ctrl_inst|cnt_v [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~9 ),
- .combout(\vga_ctrl_inst|Add1~10_combout ),
- .cout(\vga_ctrl_inst|Add1~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
-// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~11 ),
- .combout(\vga_ctrl_inst|Add1~12_combout ),
- .cout(\vga_ctrl_inst|Add1~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
-// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
-
- .dataa(\vga_ctrl_inst|cnt_v [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~13 ),
- .combout(\vga_ctrl_inst|Add1~14_combout ),
- .cout(\vga_ctrl_inst|Add1~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
-// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~15 ),
- .combout(\vga_ctrl_inst|Add1~16_combout ),
- .cout(\vga_ctrl_inst|Add1~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~18_combout = (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|Add1~17 )) # (!\vga_ctrl_inst|cnt_v [9] & ((\vga_ctrl_inst|Add1~17 ) # (GND)))
-// \vga_ctrl_inst|Add1~19 = CARRY((!\vga_ctrl_inst|Add1~17 ) # (!\vga_ctrl_inst|cnt_v [9]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~17 ),
- .combout(\vga_ctrl_inst|Add1~18_combout ),
- .cout(\vga_ctrl_inst|Add1~19 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~20 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~20_combout = (\vga_ctrl_inst|cnt_v [10] & (\vga_ctrl_inst|Add1~19 $ (GND))) # (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|Add1~19 & VCC))
-// \vga_ctrl_inst|Add1~21 = CARRY((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Add1~19 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [10]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~19 ),
- .combout(\vga_ctrl_inst|Add1~20_combout ),
- .cout(\vga_ctrl_inst|Add1~21 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~20 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~20 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N11
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .lut_mask = 16'h00F0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N15
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .lut_mask = 16'h0A8E;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|cnt [0])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N27
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N19
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .lut_mask = 16'h4F04;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N31
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]) # (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .lut_mask = 16'hFFF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .lut_mask = 16'hCCE2;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~0_combout
-// & (((\hdmi_ctrl_inst|encode_inst0|Add20~6_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .lut_mask = 16'hD8AA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .lut_mask = 16'hF2C2;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~3_combout
-// & (\hdmi_ctrl_inst|encode_inst0|Add20~4_combout & ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ))) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .lut_mask = 16'h3210;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst0|Add20~2_combout )) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .lut_mask = 16'hFA0C;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~7_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~7_combout
-// & (((\hdmi_ctrl_inst|encode_inst0|Add17~4_combout & \hdmi_ctrl_inst|encode_inst0|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .lut_mask = 16'hD8AA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ) # ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add23~0_combout & !\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .lut_mask = 16'hF0AC;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~12 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst0|Add20~0_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .lut_mask = 16'hD8AA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~16 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|cnt [0])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .lut_mask = 16'h5F0A;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .lut_mask = 16'h0F00;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add12~1_combout = (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] & \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .lut_mask = 16'h3300;
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N7
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~2_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .lut_mask = 16'h995A;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .lut_mask = 16'hACAC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .lut_mask = 16'hAAE4;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~0_combout
-// & (((\hdmi_ctrl_inst|encode_inst1|Add20~6_combout & \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .lut_mask = 16'hACF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~5_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~4_combout & (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .lut_mask = 16'hA4AE;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .lut_mask = 16'hF8F8;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .lut_mask = 16'hAF44;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & (((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~7_combout &
-// (\hdmi_ctrl_inst|encode_inst1|Add19~2_combout & (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .lut_mask = 16'h2CEC;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~14 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .lut_mask = 16'hE2CC;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~16 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|cnt [0])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .lut_mask = 16'h3F0C;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .lut_mask = 16'h00AA;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N31
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N1
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~2_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [2] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .lut_mask = 16'hA53C;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add19~6_combout )) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .lut_mask = 16'hFAFC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~4_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .lut_mask = 16'hE3E0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~3_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~3_combout
-// & (((\hdmi_ctrl_inst|encode_inst2|Add20~4_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .lut_mask = 16'hACF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2])))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add22~2_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .lut_mask = 16'hAA4E;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~10 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & (((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~9_combout &
-// (\hdmi_ctrl_inst|encode_inst2|Add19~2_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .lut_mask = 16'h3AF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~12 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (\hdmi_ctrl_inst|encode_inst2|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add22~0_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .lut_mask = 16'hAA72;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~16 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|cnt [0]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .lut_mask = 16'h0FCC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .lut_mask = 16'hC00C;
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N25
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~2_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .lut_mask = 16'h939C;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .lut_mask = 16'hF303;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|cnt_v [8])))
-
- .dataa(\vga_ctrl_inst|cnt_v [9]),
- .datab(\vga_ctrl_inst|cnt_v [7]),
- .datac(\vga_ctrl_inst|cnt_v [6]),
- .datad(\vga_ctrl_inst|cnt_v [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N31
-dffeas \vga_ctrl_inst|cnt_v[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[10]~12_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[10] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9]))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|always1~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'hA200;
-defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~5_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .lut_mask = 16'h995A;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N19
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N31
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N27
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [7])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N21
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~4_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .lut_mask = 16'hA53C;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N9
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~5_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .lut_mask = 16'hC366;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N11
-dffeas \vga_ctrl_inst|cnt_h[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|pix_x[10]~1 (
-// Equation(s):
-// \vga_ctrl_inst|pix_x[10]~1_combout = (\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~18_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_x[10]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_x[10]~1 .lut_mask = 16'hFF0F;
-defparam \vga_ctrl_inst|pix_x[10]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N10
-cycloneive_lcell_comb \vga_pic_inst|always0~1 (
-// Equation(s):
-// \vga_pic_inst|always0~1_combout = (\vga_ctrl_inst|Add2~14_combout ) # ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|always0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|always0~1 .lut_mask = 16'hFFAF;
-defparam \vga_pic_inst|always0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N12
-cycloneive_lcell_comb \vga_pic_inst|always0~2 (
-// Equation(s):
-// \vga_pic_inst|always0~2_combout = (\vga_pic_inst|always0~1_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_pic_inst|LessThan17~2_combout )))
-
- .dataa(\vga_pic_inst|always0~1_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|LessThan17~2_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|always0~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|always0~2 .lut_mask = 16'hFFFE;
-defparam \vga_pic_inst|always0~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N6
-cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~14 (
-// Equation(s):
-// \vga_pic_inst|pix_data[9]~14_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~14_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[9]~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9]~14 .lut_mask = 16'h00F0;
-defparam \vga_pic_inst|pix_data[9]~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
-// Equation(s):
-// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & \vga_pic_inst|pix_data[13]~9_combout )))
-
- .dataa(\vga_pic_inst|always0~2_combout ),
- .datab(\vga_pic_inst|pix_data[9]~15_combout ),
- .datac(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .datad(\vga_pic_inst|pix_data[13]~9_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'h0200;
-defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~8 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~8_combout = (!\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|cnt_v [10])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [11]),
- .datac(\vga_ctrl_inst|cnt_v [10]),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~8 .lut_mask = 16'h0303;
-defparam \vga_ctrl_inst|pix_data_req~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[10]~12 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[10]~12_combout = (\vga_ctrl_inst|Add1~20_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [10])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~20_combout & (!\vga_ctrl_inst|Equal0~3_combout
-// & (\vga_ctrl_inst|cnt_v [10])))
-
- .dataa(\vga_ctrl_inst|Add1~20_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [10]),
- .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[10]~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[10]~12 .lut_mask = 16'h30BA;
-defparam \vga_ctrl_inst|cnt_v[10]~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .lut_mask = 16'h3C3C;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N23
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .lut_mask = 16'hA0A0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N22
-cycloneive_lcell_comb \vga_pic_inst|LessThan10~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan10~0_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|LessThan17~2_combout ) # (!\vga_ctrl_inst|Add2~14_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_pic_inst|LessThan17~2_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan10~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan10~0 .lut_mask = 16'h080A;
-defparam \vga_pic_inst|LessThan10~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
-// Equation(s):
-// \vga_pic_inst|pix_data~22_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h00F0;
-defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
-// Equation(s):
-// \vga_pic_inst|pix_data~23_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_pic_inst|pix_data~22_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_pic_inst|pix_data~22_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~23_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'h0400;
-defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N20
-cycloneive_lcell_comb \vga_pic_inst|LessThan14~1 (
-// Equation(s):
-// \vga_pic_inst|LessThan14~1_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan14~1 .lut_mask = 16'hAA00;
-defparam \vga_pic_inst|LessThan14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~24 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~24_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_pic_inst|LessThan14~1_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_pic_inst|LessThan14~1_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~24_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~24 .lut_mask = 16'h0002;
-defparam \vga_pic_inst|pix_data[13]~24 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N8
-cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
-// Equation(s):
-// \vga_pic_inst|pix_data~25_combout = (!\vga_ctrl_inst|pix_x[10]~1_combout & ((\vga_pic_inst|pix_data~23_combout ) # ((\vga_pic_inst|LessThan10~0_combout & !\vga_pic_inst|pix_data[13]~24_combout ))))
-
- .dataa(\vga_pic_inst|LessThan10~0_combout ),
- .datab(\vga_ctrl_inst|pix_x[10]~1_combout ),
- .datac(\vga_pic_inst|pix_data[13]~24_combout ),
- .datad(\vga_pic_inst|pix_data~23_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~25_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h3302;
-defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .lut_mask = 16'hC33C;
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~5_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [7] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .lut_mask = 16'h9A56;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N9
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .lut_mask = 16'hC0C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst2|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .lut_mask = 16'h33CC;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N23
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .lut_mask = 16'h8888;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .lut_mask = 16'h8D8D;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [7] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .lut_mask = 16'hC33C;
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~6_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
-// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .lut_mask = 16'hEB41;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .lut_mask = 16'hB1B1;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N14
-cycloneive_lcell_comb \vga_pic_inst|pix_data~37 (
-// Equation(s):
-// \vga_pic_inst|pix_data~37_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~23_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
-
- .dataa(\vga_pic_inst|pix_data~16_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data~23_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~37_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~37 .lut_mask = 16'h7555;
-defparam \vga_pic_inst|pix_data~37 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: CLKCTRL_G8
-cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~2_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~2_combout
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .lut_mask = 16'hAAAA;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~2_combout
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .lut_mask = 16'hAAAA;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~5_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~4_combout
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .lut_mask = 16'hCCCC;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~5_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~5_combout
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .lut_mask = 16'hAAAA;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y13_N16
-cycloneive_io_obuf \ddc_scl~output (
- .i(vcc),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(ddc_scl),
- .obar());
-// synopsys translate_off
-defparam \ddc_scl~output .bus_hold = "false";
-defparam \ddc_scl~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y10_N16
-cycloneive_io_obuf \ddc_sda~output (
- .i(vcc),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(ddc_sda),
- .obar());
-// synopsys translate_off
-defparam \ddc_sda~output .bus_hold = "false";
-defparam \ddc_sda~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y21_N23
-cycloneive_io_obuf \tmds_clk_p~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_clk_p),
- .obar());
-// synopsys translate_off
-defparam \tmds_clk_p~output .bus_hold = "false";
-defparam \tmds_clk_p~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y20_N2
-cycloneive_io_obuf \tmds_clk_n~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_clk_n),
- .obar());
-// synopsys translate_off
-defparam \tmds_clk_n~output .bus_hold = "false";
-defparam \tmds_clk_n~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y22_N16
-cycloneive_io_obuf \tmds_data_p[0]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_p[0]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_p[0]~output .bus_hold = "false";
-defparam \tmds_data_p[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y23_N9
-cycloneive_io_obuf \tmds_data_p[1]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_p[1]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_p[1]~output .bus_hold = "false";
-defparam \tmds_data_p[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y24_N2
-cycloneive_io_obuf \tmds_data_p[2]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_p[2]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_p[2]~output .bus_hold = "false";
-defparam \tmds_data_p[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y22_N23
-cycloneive_io_obuf \tmds_data_n[0]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_n[0]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_n[0]~output .bus_hold = "false";
-defparam \tmds_data_n[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y23_N16
-cycloneive_io_obuf \tmds_data_n[1]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_n[1]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_n[1]~output .bus_hold = "false";
-defparam \tmds_data_n[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y24_N9
-cycloneive_io_obuf \tmds_data_n[2]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_n[2]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_n[2]~output .bus_hold = "false";
-defparam \tmds_data_n[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .lut_mask = 16'h0303;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] $ (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N19
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] $ (((\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .lut_mask = 16'h3CF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N17
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .lut_mask = 16'h00AA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N31
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) # (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .lut_mask = 16'hFCFC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N5
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .lut_mask = 16'h00F0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N29
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N23
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y15_N22
-cycloneive_io_ibuf \sys_clk~input (
- .i(sys_clk),
- .ibar(gnd),
- .o(\sys_clk~input_o ));
-// synopsys translate_off
-defparam \sys_clk~input .bus_hold = "false";
-defparam \sys_clk~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: CLKCTRL_G9
-cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1]}),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock";
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y21_N25
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y20_N4
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
-// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add0~0_combout ),
- .cout(\vga_ctrl_inst|Add0~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
-defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y26_N0
-cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
-// Equation(s):
-// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y4_N1
-cycloneive_io_ibuf \sys_rst_n~input (
- .i(sys_rst_n),
- .ibar(gnd),
- .o(\sys_rst_n~input_o ));
-// synopsys translate_off
-defparam \sys_rst_n~input .bus_hold = "false";
-defparam \sys_rst_n~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: FF_X40_Y26_N1
-dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .asdata(vcc),
- .clrn(\sys_rst_n~input_o ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y26_N18
-cycloneive_lcell_comb \rst_n~0 (
-// Equation(s):
-// \rst_n~0_combout = ((!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\sys_rst_n~input_o )
-
- .dataa(\sys_rst_n~input_o ),
- .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .datac(gnd),
- .datad(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .cin(gnd),
- .combout(\rst_n~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \rst_n~0 .lut_mask = 16'h77FF;
-defparam \rst_n~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: CLKCTRL_G7
-cycloneive_clkctrl \rst_n~0clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\rst_n~0clkctrl_outclk ));
-// synopsys translate_off
-defparam \rst_n~0clkctrl .clock_type = "global clock";
-defparam \rst_n~0clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N9
-dffeas \vga_ctrl_inst|cnt_h[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
-// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~3 ),
- .combout(\vga_ctrl_inst|Add0~4_combout ),
- .cout(\vga_ctrl_inst|Add0~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
-// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~5 ),
- .combout(\vga_ctrl_inst|Add0~6_combout ),
- .cout(\vga_ctrl_inst|Add0~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N15
-dffeas \vga_ctrl_inst|cnt_h[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
-// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~7 ),
- .combout(\vga_ctrl_inst|Add0~8_combout ),
- .cout(\vga_ctrl_inst|Add0~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N17
-dffeas \vga_ctrl_inst|cnt_h[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
-// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~11 ),
- .combout(\vga_ctrl_inst|Add0~12_combout ),
- .cout(\vga_ctrl_inst|Add0~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N21
-dffeas \vga_ctrl_inst|cnt_h[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~12_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
-// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~13 ),
- .combout(\vga_ctrl_inst|Add0~14_combout ),
- .cout(\vga_ctrl_inst|Add0~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N23
-dffeas \vga_ctrl_inst|cnt_h[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~14_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N13
-dffeas \vga_ctrl_inst|cnt_h[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [1] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [2])))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(\vga_ctrl_inst|cnt_h [0]),
- .datad(\vga_ctrl_inst|cnt_h [2]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
-// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~15 ),
- .combout(\vga_ctrl_inst|Add0~16_combout ),
- .cout(\vga_ctrl_inst|Add0~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~18_combout = (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|Add0~17 )) # (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Add0~17 ) # (GND)))
-// \vga_ctrl_inst|Add0~19 = CARRY((!\vga_ctrl_inst|Add0~17 ) # (!\vga_ctrl_inst|cnt_h [9]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [9]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~17 ),
- .combout(\vga_ctrl_inst|Add0~18_combout ),
- .cout(\vga_ctrl_inst|Add0~19 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~20 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~20_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add0~19 $ (GND))) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add0~19 & VCC))
-// \vga_ctrl_inst|Add0~21 = CARRY((\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add0~19 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~19 ),
- .combout(\vga_ctrl_inst|Add0~20_combout ),
- .cout(\vga_ctrl_inst|Add0~21 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~20 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~20 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N29
-dffeas \vga_ctrl_inst|cnt_h[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~20_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[10] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~22 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~22_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add0~21 )
-
- .dataa(\vga_ctrl_inst|cnt_h [11]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\vga_ctrl_inst|Add0~21 ),
- .combout(\vga_ctrl_inst|Add0~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~22 .lut_mask = 16'h5A5A;
-defparam \vga_ctrl_inst|Add0~22 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N31
-dffeas \vga_ctrl_inst|cnt_h[11] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~22_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [11]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[11] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[11] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~1_combout = (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|cnt_h [11] & \vga_ctrl_inst|cnt_h [9])))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(\vga_ctrl_inst|cnt_h [11]),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h0100;
-defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N24
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~1_combout )) # (!\vga_ctrl_inst|Equal0~0_combout )))
-
- .dataa(\vga_ctrl_inst|Add0~10_combout ),
- .datab(\vga_ctrl_inst|Equal0~0_combout ),
- .datac(\vga_ctrl_inst|Equal0~1_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h2AAA;
-defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N25
-dffeas \vga_ctrl_inst|cnt_h[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|cnt_h [5] & (\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6])))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [5]),
- .datac(\vga_ctrl_inst|cnt_h [4]),
- .datad(\vga_ctrl_inst|cnt_h [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0020;
-defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~1_combout ),
- .datab(\vga_ctrl_inst|Add0~16_combout ),
- .datac(\vga_ctrl_inst|Equal0~0_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h4CCC;
-defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N3
-dffeas \vga_ctrl_inst|cnt_h[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~1_combout = (\vga_ctrl_inst|Add0~18_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~1_combout ),
- .datab(\vga_ctrl_inst|Equal0~0_combout ),
- .datac(\vga_ctrl_inst|Add0~18_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h70F0;
-defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N1
-dffeas \vga_ctrl_inst|cnt_h[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan4~0_combout = (!\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [5]),
- .datac(\vga_ctrl_inst|cnt_h [4]),
- .datad(\vga_ctrl_inst|cnt_h [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan4~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h0003;
-defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add4~0_combout = (\vga_ctrl_inst|cnt_h [8] & (((!\vga_ctrl_inst|cnt_h [7] & \vga_ctrl_inst|LessThan4~0_combout )) # (!\vga_ctrl_inst|cnt_h [9]))) # (!\vga_ctrl_inst|cnt_h [8] & ((\vga_ctrl_inst|cnt_h [9]) #
-// ((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|LessThan4~0_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(\vga_ctrl_inst|LessThan4~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .lut_mask = 16'h7A5E;
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~1_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
-defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~1_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~3_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
-defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~3_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~5_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
-defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~5_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~7_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005;
-defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [5]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~7_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~9_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00CF;
-defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
-// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~9_cout ),
- .combout(\vga_ctrl_inst|Add2~10_combout ),
- .cout(\vga_ctrl_inst|Add2~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
-defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
-// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~11 ),
- .combout(\vga_ctrl_inst|Add2~12_combout ),
- .cout(\vga_ctrl_inst|Add2~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
-// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~13 ),
- .combout(\vga_ctrl_inst|Add2~14_combout ),
- .cout(\vga_ctrl_inst|Add2~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505;
-defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~16_combout = (\vga_ctrl_inst|cnt_h [9] & ((GND) # (!\vga_ctrl_inst|Add2~15 ))) # (!\vga_ctrl_inst|cnt_h [9] & (\vga_ctrl_inst|Add2~15 $ (GND)))
-// \vga_ctrl_inst|Add2~17 = CARRY((\vga_ctrl_inst|cnt_h [9]) # (!\vga_ctrl_inst|Add2~15 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [9]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~15 ),
- .combout(\vga_ctrl_inst|Add2~16_combout ),
- .cout(\vga_ctrl_inst|Add2~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5AAF;
-defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~18_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add2~17 & VCC)) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add2~17 ))
-// \vga_ctrl_inst|Add2~19 = CARRY((!\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add2~17 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~17 ),
- .combout(\vga_ctrl_inst|Add2~18_combout ),
- .cout(\vga_ctrl_inst|Add2~19 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~18 .lut_mask = 16'hC303;
-defparam \vga_ctrl_inst|Add2~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
-// Equation(s):
-// \vga_pic_inst|pix_data~12_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'h0020;
-defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~5 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~5_combout = \vga_ctrl_inst|cnt_h [8] $ (\vga_ctrl_inst|cnt_h [9])
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(gnd),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~5 .lut_mask = 16'h5A5A;
-defparam \vga_ctrl_inst|pix_data_req~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N2
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|Equal0~1_combout & (\vga_ctrl_inst|Equal0~0_combout & \vga_ctrl_inst|Equal0~2_combout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Equal0~1_combout ),
- .datac(\vga_ctrl_inst|Equal0~0_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'hC000;
-defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~7 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[7]~7_combout = (\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~14_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[7]~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7]~7 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[7]~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N21
-dffeas \vga_ctrl_inst|cnt_v[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[7]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~10 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[5]~10_combout = (\vga_ctrl_inst|Add1~10_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [5])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~10_combout & (!\vga_ctrl_inst|Equal0~3_combout
-// & (\vga_ctrl_inst|cnt_v [5])))
-
- .dataa(\vga_ctrl_inst|Add1~10_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [5]),
- .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[5]~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5]~10 .lut_mask = 16'h30BA;
-defparam \vga_ctrl_inst|cnt_v[5]~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N27
-dffeas \vga_ctrl_inst|cnt_v[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[5]~10_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~6 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[8]~6_combout = (\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~16_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [8]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[8]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8]~6 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[8]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N19
-dffeas \vga_ctrl_inst|cnt_v[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[8]~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
-// Equation(s):
-// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [5] & !\vga_ctrl_inst|cnt_v [8])))
-
- .dataa(\vga_ctrl_inst|cnt_v [6]),
- .datab(\vga_ctrl_inst|cnt_v [7]),
- .datac(\vga_ctrl_inst|cnt_v [5]),
- .datad(\vga_ctrl_inst|cnt_v [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[1]~1_combout = (\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~2_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[1]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1]~1 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[1]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N9
-dffeas \vga_ctrl_inst|cnt_v[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[1]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~5 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[4]~5_combout = (\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~8_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [4]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[4]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4]~5 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[4]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N1
-dffeas \vga_ctrl_inst|cnt_v[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[4]~5_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N24
-cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
-// Equation(s):
-// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|pix_data_req~8_combout & (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|cnt_v [4])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~8_combout ),
- .datab(\vga_ctrl_inst|always1~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0008;
-defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
-// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add1~0_combout ),
- .cout(\vga_ctrl_inst|Add1~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h33CC;
-defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[0]~2_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [0] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~0_combout ) # ((\vga_ctrl_inst|cnt_v [0] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datab(\vga_ctrl_inst|Add1~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [0]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[0]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0]~2 .lut_mask = 16'h44F4;
-defparam \vga_ctrl_inst|cnt_v[0]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N1
-dffeas \vga_ctrl_inst|cnt_v[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[0]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~3 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[3]~3_combout = (\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~6_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [3]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[3]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3]~3 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[3]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N3
-dffeas \vga_ctrl_inst|cnt_v[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[3]~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
-// Equation(s):
-// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [0] & \vga_ctrl_inst|cnt_v [3])))
-
- .dataa(\vga_ctrl_inst|cnt_v [2]),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|cnt_v [0]),
- .datad(\vga_ctrl_inst|cnt_v [3]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0800;
-defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[11]~0_combout = ((\vga_ctrl_inst|always1~1_combout & \vga_ctrl_inst|always1~2_combout )) # (!\vga_ctrl_inst|Equal0~3_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|always1~1_combout ),
- .datac(\vga_ctrl_inst|always1~2_combout ),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[11]~0 .lut_mask = 16'hC0FF;
-defparam \vga_ctrl_inst|cnt_v[11]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~9 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[9]~9_combout = (\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~18_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[9]~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9]~9 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[9]~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N17
-dffeas \vga_ctrl_inst|cnt_v[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[9]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~8 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[6]~8_combout = (\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~12_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [6]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[6]~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6]~8 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[6]~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N23
-dffeas \vga_ctrl_inst|cnt_v[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[6]~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
-// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~3 ),
- .combout(\vga_ctrl_inst|Add1~4_combout ),
- .cout(\vga_ctrl_inst|Add1~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~4 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[2]~4_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~4_combout ) # ((\vga_ctrl_inst|cnt_v [2] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datab(\vga_ctrl_inst|Add1~4_combout ),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[2]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2]~4 .lut_mask = 16'h44F4;
-defparam \vga_ctrl_inst|cnt_v[2]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N13
-dffeas \vga_ctrl_inst|cnt_v[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[2]~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~22 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~22_combout = \vga_ctrl_inst|Add1~21 $ (\vga_ctrl_inst|cnt_v [11])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_v [11]),
- .cin(\vga_ctrl_inst|Add1~21 ),
- .combout(\vga_ctrl_inst|Add1~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~22 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add1~22 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~11 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[11]~11_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~22_combout ) # ((\vga_ctrl_inst|cnt_v [11] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datab(\vga_ctrl_inst|Add1~22_combout ),
- .datac(\vga_ctrl_inst|cnt_v [11]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[11]~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[11]~11 .lut_mask = 16'h44F4;
-defparam \vga_ctrl_inst|cnt_v[11]~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N29
-dffeas \vga_ctrl_inst|cnt_v[11] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[11]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [11]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[11] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[11] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~2_combout = (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|cnt_v [11] & (!\vga_ctrl_inst|cnt_h [11] & !\vga_ctrl_inst|cnt_h [10])))
-
- .dataa(\vga_ctrl_inst|cnt_v [10]),
- .datab(\vga_ctrl_inst|cnt_v [11]),
- .datac(\vga_ctrl_inst|cnt_h [11]),
- .datad(\vga_ctrl_inst|cnt_h [10]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~4_combout = (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9])))
-
- .dataa(\vga_ctrl_inst|always1~0_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'hAF00;
-defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~6 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~6_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Equal0~0_combout ) # (!\vga_ctrl_inst|LessThan4~0_combout )))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~0_combout &
-// (\vga_ctrl_inst|cnt_h [9] & \vga_ctrl_inst|LessThan4~0_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~0_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(\vga_ctrl_inst|LessThan4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~6 .lut_mask = 16'h180C;
-defparam \vga_ctrl_inst|pix_data_req~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~7 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~7_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|pix_data_req~5_combout ) # (\vga_ctrl_inst|pix_data_req~6_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~5_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~6_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~7 .lut_mask = 16'hA080;
-defparam \vga_ctrl_inst|pix_data_req~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~11 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~11_combout = ((\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~12_combout ))) # (!\vga_ctrl_inst|pix_data_req~7_combout )
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~11 .lut_mask = 16'hFBF3;
-defparam \vga_pic_inst|pix_data[13]~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N6
-cycloneive_lcell_comb \vga_pic_inst|always0~0 (
-// Equation(s):
-// \vga_pic_inst|always0~0_combout = (\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((!\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~16_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[13]~11_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|always0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|always0~0 .lut_mask = 16'hEFFF;
-defparam \vga_pic_inst|always0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
-// Equation(s):
-// \vga_pic_inst|pix_data~13_combout = (\vga_pic_inst|LessThan14~0_combout & (((\vga_ctrl_inst|Add2~12_combout )) # (!\vga_pic_inst|pix_data~12_combout ))) # (!\vga_pic_inst|LessThan14~0_combout & (\vga_pic_inst|always0~0_combout &
-// ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_pic_inst|pix_data~12_combout ))))
-
- .dataa(\vga_pic_inst|LessThan14~0_combout ),
- .datab(\vga_pic_inst|pix_data~12_combout ),
- .datac(\vga_ctrl_inst|Add2~12_combout ),
- .datad(\vga_pic_inst|always0~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'hF3A2;
-defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N28
-cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
-// Equation(s):
-// \vga_pic_inst|pix_data~17_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~17_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0500;
-defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N10
-cycloneive_lcell_comb \vga_pic_inst|pix_data~34 (
-// Equation(s):
-// \vga_pic_inst|pix_data~34_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~17_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
-
- .dataa(\vga_pic_inst|pix_data~16_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data~17_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~34_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~34 .lut_mask = 16'h7555;
-defparam \vga_pic_inst|pix_data~34 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N16
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~8 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~8_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~8 .lut_mask = 16'h55FF;
-defparam \vga_pic_inst|pix_data[13]~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~9 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~9_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|pix_data[13]~8_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|pix_data[13]~8_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~9 .lut_mask = 16'h0200;
-defparam \vga_pic_inst|pix_data[13]~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~10 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~10_combout = (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data[13]~9_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~20_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[13]~9_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~10 .lut_mask = 16'h5000;
-defparam \vga_pic_inst|pix_data[13]~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N8
-cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
-// Equation(s):
-// \vga_pic_inst|pix_data~18_combout = ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|pix_data~13_combout & !\vga_pic_inst|pix_data[13]~10_combout ))) # (!\vga_pic_inst|pix_data~34_combout )
-
- .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .datab(\vga_pic_inst|pix_data~13_combout ),
- .datac(\vga_pic_inst|pix_data~34_combout ),
- .datad(\vga_pic_inst|pix_data[13]~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h0F1F;
-defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N9
-dffeas \vga_pic_inst|pix_data[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~18_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~20 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~20_combout = \vga_ctrl_inst|Add2~19 $ (\vga_ctrl_inst|cnt_h [11])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [11]),
- .cin(\vga_ctrl_inst|Add2~19 ),
- .combout(\vga_ctrl_inst|Add2~20_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~20 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add2~20 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N28
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~4 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~4_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~12_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~4 .lut_mask = 16'h0010;
-defparam \vga_pic_inst|LessThan17~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N20
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~3 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~3_combout = (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~4_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~18_combout ),
- .datab(\vga_ctrl_inst|Add2~20_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|LessThan17~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~3 .lut_mask = 16'h1000;
-defparam \vga_pic_inst|LessThan17~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N14
-cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|Add2~12_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~10_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hA000;
-defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
-// Equation(s):
-// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|LessThan14~0_combout & !\vga_pic_inst|always0~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .datab(\vga_pic_inst|LessThan17~3_combout ),
- .datac(\vga_pic_inst|LessThan14~0_combout ),
- .datad(\vga_pic_inst|always0~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~19_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCCCD;
-defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
-// Equation(s):
-// \vga_pic_inst|pix_data~20_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & \vga_pic_inst|pix_data~19_combout )) # (!\vga_pic_inst|pix_data~34_combout )
-
- .dataa(gnd),
- .datab(\vga_pic_inst|pix_data[13]~10_combout ),
- .datac(\vga_pic_inst|pix_data~34_combout ),
- .datad(\vga_pic_inst|pix_data~19_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~20_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h3F0F;
-defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N19
-dffeas \vga_pic_inst|pix_data[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~20_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add6~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [4] & \vga_pic_inst|pix_data [0])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~3_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datac(\vga_pic_inst|pix_data [4]),
- .datad(\vga_pic_inst|pix_data [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .lut_mask = 16'h8000;
-defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .lut_mask = 16'h00FF;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N21
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N27
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & ((!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0]))))
-
- .dataa(\vga_ctrl_inst|cnt_v [0]),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|cnt_v [2]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0013;
-defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~1_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|cnt_v [4] & ((!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|pix_data_req~0_combout )))) #
-// (!\vga_ctrl_inst|LessThan6~0_combout & (((!\vga_ctrl_inst|always1~0_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datab(\vga_ctrl_inst|always1~0_combout ),
- .datac(\vga_ctrl_inst|LessThan6~0_combout ),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h3353;
-defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N28
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~0 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[1]~0_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [4] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_pic_inst|pix_data [4]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[1]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[1]~0 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[1]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N29
-dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[1]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N30
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[2]~1 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[2]~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \vga_pic_inst|pix_data [0])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datad(\vga_pic_inst|pix_data [0]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[2]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[2]~1 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[2]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N31
-dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[2]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]) # (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .lut_mask = 16'hFFFC;
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst0|Add14~0_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .lut_mask = 16'h00FF;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N9
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1] & \hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add19~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add19~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add19~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~6_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (\hdmi_ctrl_inst|encode_inst0|Add19~5 )
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add19~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .lut_mask = 16'h3C3C;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC)))
-// \hdmi_ctrl_inst|encode_inst0|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1]) # (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add22~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .lut_mask = 16'h99EE;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
-// \hdmi_ctrl_inst|encode_inst0|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add22~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add22~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .lut_mask = 16'hA505;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~6_combout = \hdmi_ctrl_inst|encode_inst0|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst0|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add22~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add19~6_combout )) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .lut_mask = 16'hFBEA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N17
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .lut_mask = 16'hAF44;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~10 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst0|Add16~9_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ))))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .lut_mask = 16'h5FC0;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & ((!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .lut_mask = 16'h0CFC;
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N29
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .lut_mask = 16'hC030;
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N19
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .lut_mask = 16'hAF44;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~14 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~13_combout &
-// (\hdmi_ctrl_inst|encode_inst0|Add19~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .lut_mask = 16'h5F88;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y22_N1
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] &
-// (\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .lut_mask = 16'hA088;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) #
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .lut_mask = 16'h8421;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .lut_mask = 16'h0F00;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ) # (\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .lut_mask = 16'h00EE;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~4_combout &
-// (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add4~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .lut_mask = 16'hA000;
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N17
-dffeas \hdmi_ctrl_inst|encode_inst2|de_reg1 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|de_reg1~q
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N1
-dffeas \hdmi_ctrl_inst|encode_inst2|de_reg2 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N13
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_2~combout = (\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))) #
-// (!\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .lut_mask = 16'h3B0A;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .lut_mask = 16'hBFAA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst0|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .lut_mask = 16'hA55A;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N15
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) #
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .lut_mask = 16'h7150;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst0|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [4] &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .lut_mask = 16'hF2C2;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~1_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .lut_mask = 16'h87D2;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~1_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # ((\vga_ctrl_inst|cnt_h [10]) # ((\vga_ctrl_inst|cnt_h [9]) # (\vga_ctrl_inst|cnt_h [11])))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(\vga_ctrl_inst|cnt_h [11]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hFFFE;
-defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~1 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan0~1_combout = (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|LessThan0~0_combout & ((!\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|cnt_h [6]))))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(\vga_ctrl_inst|cnt_h [5]),
- .datad(\vga_ctrl_inst|LessThan0~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan0~1 .lut_mask = 16'h0015;
-defparam \vga_ctrl_inst|LessThan0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y20_N27
-dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg1 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|LessThan0~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y20_N25
-dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg2 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N9
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .lut_mask = 16'h33CC;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N25
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~4_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .lut_mask = 16'hC35A;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~4_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N29
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan1~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [3] & \vga_ctrl_inst|always1~1_combout )))
-
- .dataa(\vga_ctrl_inst|cnt_v [2]),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|cnt_v [3]),
- .datad(\vga_ctrl_inst|always1~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'h0100;
-defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N15
-dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg1 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|LessThan1~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|c1_reg1~q
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N5
-dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg2 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c0_reg2~q $
-// (!\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .lut_mask = 16'hACA3;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N5
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .lut_mask = 16'hC0C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N1
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N7
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .lut_mask = 16'hAFA0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y22_N25
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .lut_mask = 16'hA3A3;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N17
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [2]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]))
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .lut_mask = 16'hCACA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N21
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .lut_mask = 16'hF3C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N9
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y22_N18
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N16
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~2 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~2_combout = (!\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|pix_data_req~7_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~2 .lut_mask = 16'h1010;
-defparam \vga_pic_inst|LessThan17~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~15 (
-// Equation(s):
-// \vga_pic_inst|pix_data[9]~15_combout = (\vga_pic_inst|pix_data[9]~14_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~2_combout )))
-
- .dataa(\vga_pic_inst|pix_data[9]~14_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|LessThan17~2_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[9]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9]~15 .lut_mask = 16'h0200;
-defparam \vga_pic_inst|pix_data[9]~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~35 (
-// Equation(s):
-// \vga_pic_inst|pix_data~35_combout = (\vga_pic_inst|LessThan10~0_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )))
-
- .dataa(\vga_pic_inst|LessThan10~0_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[13]~11_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~35_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~35 .lut_mask = 16'hFFEF;
-defparam \vga_pic_inst|pix_data~35 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data~36 (
-// Equation(s):
-// \vga_pic_inst|pix_data~36_combout = (\vga_pic_inst|always0~2_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_pic_inst|pix_data[9]~15_combout )))
-
- .dataa(\vga_pic_inst|always0~2_combout ),
- .datab(\vga_ctrl_inst|Add2~20_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[9]~15_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~36_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~36 .lut_mask = 16'h0020;
-defparam \vga_pic_inst|pix_data~36 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N6
-cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
-// Equation(s):
-// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|pix_data~12_combout & (!\vga_ctrl_inst|Add2~12_combout & ((\vga_pic_inst|LessThan14~0_combout ) # (\vga_pic_inst|always0~0_combout ))))
-
- .dataa(\vga_pic_inst|LessThan14~0_combout ),
- .datab(\vga_pic_inst|pix_data~12_combout ),
- .datac(\vga_ctrl_inst|Add2~12_combout ),
- .datad(\vga_pic_inst|always0~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~21_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'h0C08;
-defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N22
-cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
-// Equation(s):
-// \vga_pic_inst|pix_data~26_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~25_combout ),
- .datab(\vga_pic_inst|pix_data~35_combout ),
- .datac(\vga_pic_inst|pix_data~36_combout ),
- .datad(\vga_pic_inst|pix_data~21_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~26_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hE0A0;
-defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|pix_x[11]~0 (
-// Equation(s):
-// \vga_ctrl_inst|pix_x[11]~0_combout = (\vga_ctrl_inst|Add2~20_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~20_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_x[11]~0 .lut_mask = 16'hFF0F;
-defparam \vga_ctrl_inst|pix_x[11]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N8
-cycloneive_lcell_comb \vga_pic_inst|pix_data~27 (
-// Equation(s):
-// \vga_pic_inst|pix_data~27_combout = (\vga_pic_inst|pix_data~26_combout ) # ((!\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
-
- .dataa(\vga_pic_inst|always0~2_combout ),
- .datab(\vga_pic_inst|pix_data[9]~15_combout ),
- .datac(\vga_pic_inst|pix_data~26_combout ),
- .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~27_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~27 .lut_mask = 16'hF0F1;
-defparam \vga_pic_inst|pix_data~27 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y21_N9
-dffeas \vga_pic_inst|pix_data[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~27_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N14
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~2 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[10]~2_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data [10] & \vga_ctrl_inst|pix_data_req~1_combout )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datac(\vga_pic_inst|pix_data [10]),
- .datad(\vga_ctrl_inst|pix_data_req~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[10]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[10]~2 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[10]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N15
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[10]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~29 (
-// Equation(s):
-// \vga_pic_inst|pix_data~29_combout = (\vga_ctrl_inst|pix_data_req~7_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & !\vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|Add2~14_combout &
-// ((\vga_ctrl_inst|Add2~12_combout )))))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~29_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~29 .lut_mask = 16'h5020;
-defparam \vga_pic_inst|pix_data~29 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~30 (
-// Equation(s):
-// \vga_pic_inst|pix_data~30_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~20_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~18_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_ctrl_inst|Add2~20_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~18_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~30_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~30 .lut_mask = 16'h0002;
-defparam \vga_pic_inst|pix_data~30 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~31 (
-// Equation(s):
-// \vga_pic_inst|pix_data~31_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((\vga_pic_inst|pix_data~29_combout & \vga_pic_inst|pix_data~30_combout ))
-
- .dataa(gnd),
- .datab(\vga_pic_inst|pix_data~29_combout ),
- .datac(\vga_pic_inst|pix_data~30_combout ),
- .datad(\vga_pic_inst|LessThan17~3_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~31_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~31 .lut_mask = 16'hFFC0;
-defparam \vga_pic_inst|pix_data~31 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y21_N5
-dffeas \vga_pic_inst|pix_data[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~31_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N4
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[6]~4 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[6]~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [8])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_pic_inst|pix_data [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[6]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[6]~4 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[6]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N5
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[6]~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add5~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add5~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~1_combout & (\vga_pic_inst|pix_data [8] & ((\vga_pic_inst|pix_data [9]) # (\vga_pic_inst|pix_data [10]))))
-
- .dataa(\vga_pic_inst|pix_data [9]),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
- .datac(\vga_pic_inst|pix_data [10]),
- .datad(\vga_pic_inst|pix_data [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .lut_mask = 16'hC800;
-defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N27
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) #
-// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .lut_mask = 16'h6006;
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N25
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~28 (
-// Equation(s):
-// \vga_pic_inst|pix_data~28_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~25_combout ),
- .datab(\vga_pic_inst|pix_data~35_combout ),
- .datac(\vga_pic_inst|pix_data~36_combout ),
- .datad(\vga_pic_inst|pix_data~21_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~28_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~28 .lut_mask = 16'hE0A0;
-defparam \vga_pic_inst|pix_data~28 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y21_N3
-dffeas \vga_pic_inst|pix_data[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~28_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N8
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[7]~3_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [9])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_pic_inst|pix_data [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N9
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[7]~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add13~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
-// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
-// (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .lut_mask = 16'hF690;
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N13
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add13~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & \hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] &
-// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .lut_mask = 16'hF330;
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N29
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .lut_mask = 16'h08AE;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .lut_mask = 16'h7510;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add14~2_combout = (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X33_Y21_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst1|Add15~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (((!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .lut_mask = 16'h37FE;
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N17
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// (\hdmi_ctrl_inst|encode_inst1|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add23~1 ))))
-// \hdmi_ctrl_inst|encode_inst1|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
-// ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add23~1 ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add23~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add23~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .lut_mask = 16'h694D;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~2_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .lut_mask = 16'hAAE4;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~10 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~2_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N23
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add15~0_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .lut_mask = 16'hA0C0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout &
-// (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout &
-// ((\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout &
-// ((!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|cnt [4])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .datac(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst1|cnt [3])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .lut_mask = 16'h00EE;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~14_combout &
-// (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout &
-// (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout &
-// ((\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout &
-// ((!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N15
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~4_combout )) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add23~4_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .lut_mask = 16'hEE50;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~3_combout
-// & (\hdmi_ctrl_inst|encode_inst1|Add17~6_combout & ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~6_combout &
-// (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N17
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) #
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .lut_mask = 16'h8241;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_2~combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
-// ((\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|cnt [3] & \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datac(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .lut_mask = 16'h7350;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .lut_mask = 16'h22EE;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ) # (\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add22~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~12 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~11_combout &
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .lut_mask = 16'h5F22;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N13
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~6_combout = \hdmi_ctrl_inst|encode_inst1|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add22~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~6_combout )) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .lut_mask = 16'hFFAC;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst1|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .lut_mask = 16'hA55A;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N19
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst1|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [4] &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [4] & ((\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .lut_mask = 16'hEE50;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N19
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~1_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .lut_mask = 16'hA53C;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~1_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N1
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~3_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .lut_mask = 16'h9A56;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~3_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N29
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [5]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]))
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .lut_mask = 16'hCACA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N21
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .lut_mask = 16'hAF05;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N29
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .lut_mask = 16'hCC00;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N27
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N23
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N19
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .lut_mask = 16'hBB88;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y23_N11
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N14
-cycloneive_lcell_comb \vga_pic_inst|pix_data~33 (
-// Equation(s):
-// \vga_pic_inst|pix_data~33_combout = (\vga_pic_inst|pix_data~37_combout & ((\vga_pic_inst|pix_data[13]~10_combout ) # (\vga_pic_inst|pix_data~19_combout )))
-
- .dataa(\vga_pic_inst|pix_data~37_combout ),
- .datab(\vga_pic_inst|pix_data[13]~10_combout ),
- .datac(gnd),
- .datad(\vga_pic_inst|pix_data~19_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~33_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~33 .lut_mask = 16'hAA88;
-defparam \vga_pic_inst|pix_data~33 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N15
-dffeas \vga_pic_inst|pix_data[13] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~33_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [13]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[13] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N22
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[13]~6 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[13]~6_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [13] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_pic_inst|pix_data [13]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[13]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[13]~6 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[13]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N23
-dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[13]~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~32 (
-// Equation(s):
-// \vga_pic_inst|pix_data~32_combout = (\vga_pic_inst|pix_data~37_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & ((\vga_pic_inst|pix_data[13]~9_combout ) # (!\vga_pic_inst|pix_data~13_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~37_combout ),
- .datab(\vga_pic_inst|pix_data~13_combout ),
- .datac(\vga_pic_inst|pix_data[13]~9_combout ),
- .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~32_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~32 .lut_mask = 16'h00A2;
-defparam \vga_pic_inst|pix_data~32 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N5
-dffeas \vga_pic_inst|pix_data[15] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~32_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [15]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[15] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add6~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [13] & \vga_pic_inst|pix_data [15])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~3_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datac(\vga_pic_inst|pix_data [13]),
- .datad(\vga_pic_inst|pix_data [15]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .lut_mask = 16'h8000;
-defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N27
-dffeas \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N20
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~5 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[12]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [15] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_pic_inst|pix_data [15]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[12]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[12]~5 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[12]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N21
-dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[12]~5_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (!\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .lut_mask = 16'h3F0C;
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N5
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) # ((\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]) # (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .lut_mask = 16'hFFFC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N1
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add12~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .lut_mask = 16'h00F0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N23
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .lut_mask = 16'h20F2;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N7
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) #
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .lut_mask = 16'h9009;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & \hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add19~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .lut_mask = 16'h0C0A;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .lut_mask = 16'hF7F0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|cnt [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .lut_mask = 16'h0F00;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .lut_mask = 16'h00EE;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout &
-// (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout &
-// ((\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout &
-// ((!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N3
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst2|cnt [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst2|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] &
-// ((\hdmi_ctrl_inst|encode_inst2|Add15~0_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .lut_mask = 16'hAC00;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~12_combout &
-// (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
-// (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
-// ((\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout &
-// ((!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~4_combout &
-// (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N9
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst2|Add14~0_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .lut_mask = 16'h00FF;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N3
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_2~combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .lut_mask = 16'h0ACE;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~14 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~13_combout & (((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~13_combout
-// & (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .lut_mask = 16'h6E2A;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N5
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// (\hdmi_ctrl_inst|encode_inst2|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add23~1 ))))
-// \hdmi_ctrl_inst|encode_inst2|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
-// ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add23~1 ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add23~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add23~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .lut_mask = 16'h694D;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// ((\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add20~1 ))))
-// \hdmi_ctrl_inst|encode_inst2|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add20~1 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add20~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add20~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .lut_mask = 16'h692B;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .lut_mask = 16'hFA44;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~7_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~7_combout
-// & (\hdmi_ctrl_inst|encode_inst2|Add17~4_combout & ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N7
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N9
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~8_combout = \hdmi_ctrl_inst|encode_inst2|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add23~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add23~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ) # ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add23~6_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .lut_mask = 16'hAAD8;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add20~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add20~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~0_combout
-// & (((\hdmi_ctrl_inst|encode_inst2|Add20~6_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .lut_mask = 16'hB8CC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst2|Add16~2_combout $ (\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .lut_mask = 16'hA55A;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N11
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [4] & (((\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ) # (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [4] &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N21
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~1_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .lut_mask = 16'hB41E;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~1_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N1
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N29
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~4_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .lut_mask = 16'h939C;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~4_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N17
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
-// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .lut_mask = 16'hEB41;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N19
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .lut_mask = 16'hC0C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .lut_mask = 16'hCCF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N9
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .lut_mask = 16'hCCF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N5
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N21
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N17
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout = !\hdmi_ctrl_inst|encode_inst2|c0_reg2~q
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N21
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|data_out [6]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]))
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .lut_mask = 16'hCCAA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N7
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .lut_mask = 16'hBB88;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .lut_mask = 16'hBB88;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N1
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y24_N4
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y22_N25
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y23_N18
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y24_N11
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-endmodule
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus II 32-bit"
+// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
+
+// DATE "04/29/2025 22:08:27"
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This Verilog file should be used for ModelSim (Verilog) only
+//
+
+`timescale 1 ps/ 1 ps
+
+module hdmi_colorbar (
+ sys_clk,
+ sys_rst_n,
+ ddc_scl,
+ ddc_sda,
+ tmds_clk_p,
+ tmds_clk_n,
+ tmds_data_p,
+ tmds_data_n);
+input sys_clk;
+input sys_rst_n;
+output ddc_scl;
+output ddc_sda;
+output tmds_clk_p;
+output tmds_clk_n;
+output [2:0] tmds_data_p;
+output [2:0] tmds_data_n;
+
+// Design Ports Information
+// ddc_scl => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default
+// ddc_sda => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_clk_p => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_clk_n => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: 8mA
+// tmds_data_p[0] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_p[1] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_p[2] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_n[0] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_n[1] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_n[2] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default
+// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
+// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
+
+
+wire gnd;
+wire vcc;
+wire unknown;
+
+assign gnd = 1'b0;
+assign vcc = 1'b1;
+assign unknown = 1'bx;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+// synopsys translate_off
+initial $sdf_annotate("hdmi_colorbar_8_1200mv_85c_v_slow.sdo");
+// synopsys translate_on
+
+wire \hdmi_ctrl_inst|encode_inst0|Add20~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~7 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~7 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~7 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~7 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~4_combout ;
+wire \vga_ctrl_inst|Add0~6_combout ;
+wire \vga_ctrl_inst|Add1~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~10_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~12_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~10_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~12_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~10_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~12_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ;
+wire \vga_ctrl_inst|LessThan0~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~5_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~5_combout ;
+wire \vga_ctrl_inst|always1~2_combout ;
+wire \vga_ctrl_inst|cnt_v[2]~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ;
+wire \vga_pic_inst|pix_data~22_combout ;
+wire \vga_pic_inst|LessThan14~1_combout ;
+wire \vga_pic_inst|pix_data[13]~24_combout ;
+wire \vga_pic_inst|pix_data~29_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~5_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~7_combout ;
+wire \vga_pic_inst|LessThan17~4_combout ;
+wire \vga_pic_inst|pix_data~35_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ;
+wire \sys_clk~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ;
+wire \vga_ctrl_inst|Add1~1 ;
+wire \vga_ctrl_inst|Add1~3 ;
+wire \vga_ctrl_inst|Add1~5 ;
+wire \vga_ctrl_inst|Add1~6_combout ;
+wire \vga_ctrl_inst|cnt_v[3]~3_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
+wire \sys_rst_n~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
+wire \rst_n~0_combout ;
+wire \rst_n~0clkctrl_outclk ;
+wire \vga_ctrl_inst|Add1~7 ;
+wire \vga_ctrl_inst|Add1~8_combout ;
+wire \vga_ctrl_inst|Add0~0_combout ;
+wire \vga_ctrl_inst|Add0~1 ;
+wire \vga_ctrl_inst|Add0~2_combout ;
+wire \vga_ctrl_inst|Add0~3 ;
+wire \vga_ctrl_inst|Add0~4_combout ;
+wire \vga_ctrl_inst|Add0~5 ;
+wire \vga_ctrl_inst|Add0~7 ;
+wire \vga_ctrl_inst|Add0~8_combout ;
+wire \vga_ctrl_inst|Add0~9 ;
+wire \vga_ctrl_inst|Add0~11 ;
+wire \vga_ctrl_inst|Add0~12_combout ;
+wire \vga_ctrl_inst|Add0~13 ;
+wire \vga_ctrl_inst|Add0~14_combout ;
+wire \vga_ctrl_inst|Add0~15 ;
+wire \vga_ctrl_inst|Add0~16_combout ;
+wire \vga_ctrl_inst|Equal0~0_combout ;
+wire \vga_ctrl_inst|cnt_h~2_combout ;
+wire \vga_ctrl_inst|Equal0~2_combout ;
+wire \vga_ctrl_inst|Add0~17 ;
+wire \vga_ctrl_inst|Add0~18_combout ;
+wire \vga_ctrl_inst|cnt_h~1_combout ;
+wire \vga_ctrl_inst|Add0~19 ;
+wire \vga_ctrl_inst|Add0~20_combout ;
+wire \vga_ctrl_inst|Add0~21 ;
+wire \vga_ctrl_inst|Add0~22_combout ;
+wire \vga_ctrl_inst|Equal0~1_combout ;
+wire \vga_ctrl_inst|Equal0~3_combout ;
+wire \vga_ctrl_inst|cnt_v[4]~5_combout ;
+wire \vga_ctrl_inst|Add1~9 ;
+wire \vga_ctrl_inst|Add1~10_combout ;
+wire \vga_ctrl_inst|cnt_v[5]~10_combout ;
+wire \vga_ctrl_inst|Add1~11 ;
+wire \vga_ctrl_inst|Add1~12_combout ;
+wire \vga_ctrl_inst|cnt_v[6]~8_combout ;
+wire \vga_ctrl_inst|Add1~13 ;
+wire \vga_ctrl_inst|Add1~14_combout ;
+wire \vga_ctrl_inst|cnt_v[7]~7_combout ;
+wire \vga_ctrl_inst|Add1~15 ;
+wire \vga_ctrl_inst|Add1~16_combout ;
+wire \vga_ctrl_inst|cnt_v[8]~6_combout ;
+wire \vga_ctrl_inst|Add1~17 ;
+wire \vga_ctrl_inst|Add1~18_combout ;
+wire \vga_ctrl_inst|cnt_v[9]~9_combout ;
+wire \vga_ctrl_inst|Add1~19 ;
+wire \vga_ctrl_inst|Add1~20_combout ;
+wire \vga_ctrl_inst|cnt_v[10]~12_combout ;
+wire \vga_ctrl_inst|Add1~21 ;
+wire \vga_ctrl_inst|Add1~22_combout ;
+wire \vga_ctrl_inst|cnt_v[11]~11_combout ;
+wire \vga_ctrl_inst|pix_data_req~8_combout ;
+wire \vga_ctrl_inst|always1~0_combout ;
+wire \vga_ctrl_inst|always1~1_combout ;
+wire \vga_ctrl_inst|cnt_v[11]~0_combout ;
+wire \vga_ctrl_inst|Add1~2_combout ;
+wire \vga_ctrl_inst|cnt_v[1]~1_combout ;
+wire \vga_ctrl_inst|Add1~0_combout ;
+wire \vga_ctrl_inst|cnt_v[0]~2_combout ;
+wire \vga_ctrl_inst|LessThan6~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~1_combout ;
+wire \vga_ctrl_inst|pix_data_req~2_combout ;
+wire \vga_ctrl_inst|pix_data_req~3_combout ;
+wire \vga_ctrl_inst|Add0~10_combout ;
+wire \vga_ctrl_inst|cnt_h~0_combout ;
+wire \vga_ctrl_inst|Add2~1_cout ;
+wire \vga_ctrl_inst|Add2~3_cout ;
+wire \vga_ctrl_inst|Add2~5_cout ;
+wire \vga_ctrl_inst|Add2~7_cout ;
+wire \vga_ctrl_inst|Add2~9_cout ;
+wire \vga_ctrl_inst|Add2~11 ;
+wire \vga_ctrl_inst|Add2~13 ;
+wire \vga_ctrl_inst|Add2~14_combout ;
+wire \vga_ctrl_inst|Add2~12_combout ;
+wire \vga_pic_inst|always0~1_combout ;
+wire \vga_ctrl_inst|Add2~10_combout ;
+wire \vga_pic_inst|LessThan17~2_combout ;
+wire \vga_ctrl_inst|Add2~15 ;
+wire \vga_ctrl_inst|Add2~16_combout ;
+wire \vga_pic_inst|always0~2_combout ;
+wire \vga_pic_inst|pix_data[13]~8_combout ;
+wire \vga_ctrl_inst|Add2~17 ;
+wire \vga_ctrl_inst|Add2~18_combout ;
+wire \vga_pic_inst|pix_data[13]~9_combout ;
+wire \vga_ctrl_inst|Add2~19 ;
+wire \vga_ctrl_inst|Add2~20_combout ;
+wire \vga_ctrl_inst|pix_x[11]~0_combout ;
+wire \vga_pic_inst|pix_data~16_combout ;
+wire \vga_pic_inst|pix_data~17_combout ;
+wire \vga_pic_inst|pix_data~34_combout ;
+wire \vga_ctrl_inst|pix_data_req~5_combout ;
+wire \vga_ctrl_inst|pix_data_req~6_combout ;
+wire \vga_ctrl_inst|pix_data_req~7_combout ;
+wire \vga_pic_inst|pix_data~12_combout ;
+wire \vga_pic_inst|pix_data[13]~11_combout ;
+wire \vga_pic_inst|always0~0_combout ;
+wire \vga_pic_inst|LessThan14~0_combout ;
+wire \vga_pic_inst|pix_data~13_combout ;
+wire \vga_pic_inst|pix_data~18_combout ;
+wire \vga_pic_inst|pix_data~19_combout ;
+wire \vga_pic_inst|pix_data~20_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add6~0_combout ;
+wire \vga_ctrl_inst|LessThan4~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~4_combout ;
+wire \vga_ctrl_inst|rgb[2]~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add12~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add12~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add14~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add14~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~14_combout ;
+wire \vga_ctrl_inst|rgb[1]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add4~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|de_reg1~q ;
+wire \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|de_reg2~q ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~16_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_2~combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ;
+wire \vga_ctrl_inst|LessThan0~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c0_reg1~q ;
+wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~q ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ;
+wire \vga_pic_inst|pix_data~30_combout ;
+wire \vga_pic_inst|LessThan17~3_combout ;
+wire \vga_pic_inst|pix_data~31_combout ;
+wire \vga_ctrl_inst|rgb[6]~4_combout ;
+wire \vga_ctrl_inst|pix_x[10]~1_combout ;
+wire \vga_pic_inst|pix_data~23_combout ;
+wire \vga_pic_inst|LessThan10~0_combout ;
+wire \vga_pic_inst|pix_data~25_combout ;
+wire \vga_pic_inst|pix_data[9]~14_combout ;
+wire \vga_pic_inst|pix_data[9]~15_combout ;
+wire \vga_pic_inst|pix_data~36_combout ;
+wire \vga_pic_inst|pix_data~21_combout ;
+wire \vga_pic_inst|pix_data~28_combout ;
+wire \vga_ctrl_inst|rgb[7]~3_combout ;
+wire \vga_pic_inst|pix_data~26_combout ;
+wire \vga_pic_inst|pix_data~27_combout ;
+wire \vga_ctrl_inst|rgb[10]~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add13~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add14~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add14~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add14~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add5~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~16_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add13~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~14_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_2~combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ;
+wire \vga_ctrl_inst|LessThan1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c1_reg1~q ;
+wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~q ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~7_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ;
+wire \vga_pic_inst|pix_data~37_combout ;
+wire \vga_pic_inst|pix_data[13]~10_combout ;
+wire \vga_pic_inst|pix_data~33_combout ;
+wire \vga_pic_inst|pix_data~32_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add6~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ;
+wire \vga_ctrl_inst|rgb[13]~6_combout ;
+wire \vga_ctrl_inst|rgb[12]~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add14~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add12~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add12~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_2~combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add14~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~16_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~14_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~7 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~7 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~8_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ;
+wire [8:0] \hdmi_ctrl_inst|encode_inst1|q_m_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n0 ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n1 ;
+wire [7:0] \hdmi_ctrl_inst|encode_inst2|data_in_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst2|data_in_n1 ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [11:0] \vga_ctrl_inst|cnt_h ;
+wire [15:0] \vga_pic_inst|pix_data ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n1 ;
+wire [4:0] \hdmi_ctrl_inst|encode_inst0|cnt ;
+wire [2:0] \hdmi_ctrl_inst|par_to_ser_inst0|cnt ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s ;
+wire [4:0] \hdmi_ctrl_inst|encode_inst1|cnt ;
+wire [9:0] \hdmi_ctrl_inst|encode_inst1|data_out ;
+wire [7:0] \hdmi_ctrl_inst|encode_inst1|data_in_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst1|data_in_n1 ;
+wire [4:0] \hdmi_ctrl_inst|encode_inst2|cnt ;
+wire [9:0] \hdmi_ctrl_inst|encode_inst2|data_out ;
+wire [8:0] \hdmi_ctrl_inst|encode_inst2|q_m_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n0 ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n1 ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s ;
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
+wire [11:0] \vga_ctrl_inst|cnt_v ;
+wire [8:0] \hdmi_ctrl_inst|encode_inst0|q_m_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n0 ;
+wire [9:0] \hdmi_ctrl_inst|encode_inst0|data_out ;
+wire [7:0] \hdmi_ctrl_inst|encode_inst0|data_in_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst0|data_in_n1 ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
+
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
+
+// Location: PLL_2
+cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
+ .areset(!\sys_rst_n~input_o ),
+ .pfdena(vcc),
+ .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .phaseupdown(gnd),
+ .phasestep(gnd),
+ .scandata(gnd),
+ .scanclk(gnd),
+ .scanclkena(vcc),
+ .configupdate(gnd),
+ .clkswitch(gnd),
+ .inclk({gnd,\sys_clk~input_o }),
+ .phasecounterselect(3'b000),
+ .phasedone(),
+ .scandataout(),
+ .scandone(),
+ .activeclock(),
+ .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .vcooverrange(),
+ .vcounderrange(),
+ .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
+ .clkbad());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 13;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "odd";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 3;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 2;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "odd";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 25;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 5;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 6891;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 208;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N11
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N13
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N19
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add20~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .lut_mask = 16'h66BB;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// ((\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add20~1 ))))
+// \hdmi_ctrl_inst|encode_inst0|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add20~1 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add20~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add20~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .lut_mask = 16'h692B;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add20~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add20~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add20~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .lut_mask = 16'h3CCF;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add20~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add20~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add17~1
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] &
+// ((!\hdmi_ctrl_inst|encode_inst0|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1
+// [2] & !\hdmi_ctrl_inst|encode_inst0|Add17~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .lut_mask = 16'h3C3F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~7 )
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .lut_mask = 16'hC3C3;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add23~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .lut_mask = 16'h66DD;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// (\hdmi_ctrl_inst|encode_inst0|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
+// \hdmi_ctrl_inst|encode_inst0|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
+// ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add23~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add23~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .lut_mask = 16'h694D;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add23~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst0|Add23~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add23~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add23~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .lut_mask = 16'h3C03;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add23~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add23~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add15~1
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] &
+// ((!\hdmi_ctrl_inst|encode_inst0|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0
+// [2] & !\hdmi_ctrl_inst|encode_inst0|Add15~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add15~5
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] &
+// ((!\hdmi_ctrl_inst|encode_inst0|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~7 )
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .lut_mask = 16'hC3C3;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add19~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add19~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .lut_mask = 16'h5A5F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add19~3 & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add19~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add19~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add19~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .lut_mask = 16'hA50A;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
+// \hdmi_ctrl_inst|encode_inst0|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add22~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add22~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .lut_mask = 16'hA505;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add22~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add22~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add22~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .lut_mask = 16'h5AAF;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout &
+// (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout &
+// ((!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~4_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N13
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N3
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add20~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .lut_mask = 16'h66BB;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// (\hdmi_ctrl_inst|encode_inst1|Add20~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add20~1 ))))
+// \hdmi_ctrl_inst|encode_inst1|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
+// ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~1 ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add20~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add20~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .lut_mask = 16'h694D;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add20~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add20~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add20~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .lut_mask = 16'h3CCF;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add20~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add20~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst1|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
+// ((\hdmi_ctrl_inst|encode_inst1|Add17~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add17~1 )
+// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt
+// [2] & !\hdmi_ctrl_inst|encode_inst1|Add17~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .lut_mask = 16'h3C3F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~8_combout = \hdmi_ctrl_inst|encode_inst1|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add23~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .lut_mask = 16'h66DD;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// ((\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|Add23~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add23~1 ))))
+// \hdmi_ctrl_inst|encode_inst1|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((!\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst1|Add23~1 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add23~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add23~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .lut_mask = 16'h692B;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add23~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add23~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add23~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add23~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .lut_mask = 16'h3C03;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add23~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add23~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst1|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] &
+// ((\hdmi_ctrl_inst|encode_inst1|Add15~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add15~1 )
+// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt
+// [2] & !\hdmi_ctrl_inst|encode_inst1|Add15~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add15~5
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
+// ((!\hdmi_ctrl_inst|encode_inst1|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~8_combout = \hdmi_ctrl_inst|encode_inst1|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst1|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add19~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC)))
+// \hdmi_ctrl_inst|encode_inst1|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst1|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add22~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .lut_mask = 16'h99EE;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
+// \hdmi_ctrl_inst|encode_inst1|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add22~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add22~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .lut_mask = 16'hA505;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add20~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .lut_mask = 16'h66DD;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [0] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add17~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst2|Add17~1 )
+// # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add17~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1
+// [2] & !\hdmi_ctrl_inst|encode_inst2|Add17~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add23~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .lut_mask = 16'h66BB;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst2|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add15~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst2|Add15~1 )
+// # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add19~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add19~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .lut_mask = 16'h5A5F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add19~3 & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add19~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add19~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add19~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .lut_mask = 16'hC30C;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
+// \hdmi_ctrl_inst|encode_inst2|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|cnt [2] & !\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add22~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add22~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .lut_mask = 16'hA505;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add22~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add22~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add22~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .lut_mask = 16'h3CCF;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X30_Y21_N27
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N23
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
+// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~5 ),
+ .combout(\vga_ctrl_inst|Add0~6_combout ),
+ .cout(\vga_ctrl_inst|Add0~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
+// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~3 ),
+ .combout(\vga_ctrl_inst|Add1~4_combout ),
+ .cout(\vga_ctrl_inst|Add1~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N23
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .lut_mask = 16'h0C0C;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .lut_mask = 16'h2F02;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N5
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N23
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [2]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .lut_mask = 16'hF0AA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))) #
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .lut_mask = 16'h8421;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N13
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N23
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .lut_mask = 16'h7130;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N19
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~1_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .lut_mask = 16'h87D2;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .lut_mask = 16'h0303;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .lut_mask = 16'h3030;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .lut_mask = 16'hF0CA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~0_combout
+// & (\hdmi_ctrl_inst|encode_inst0|Add20~6_combout & ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .lut_mask = 16'hE4AA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~4_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .lut_mask = 16'hE3E0;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~3_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~3_combout
+// & (((\hdmi_ctrl_inst|encode_inst0|Add20~4_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .lut_mask = 16'hACF0;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .lut_mask = 16'h5044;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .lut_mask = 16'hAF44;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~10 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~9_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add19~2_combout & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .lut_mask = 16'h4AEA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ) # ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add23~0_combout & !\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .lut_mask = 16'hF0AC;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~12 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst0|Add20~0_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .lut_mask = 16'hD8AA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] &
+// (\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .lut_mask = 16'hA088;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst0|cnt [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .lut_mask = 16'h00CC;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N7
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .lut_mask = 16'hCC0F;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N19
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .lut_mask = 16'hFA44;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~0_combout &
+// (\hdmi_ctrl_inst|encode_inst1|Add20~6_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .lut_mask = 16'hF858;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .lut_mask = 16'hFC22;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~3_combout
+// & (\hdmi_ctrl_inst|encode_inst1|Add17~6_combout & (\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .lut_mask = 16'hEC2C;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .lut_mask = 16'hF50C;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .lut_mask = 16'hFA44;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~10 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~2_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .lut_mask = 16'hCAF0;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~0_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .lut_mask = 16'hE5E0;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~12 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst1|Add16~11_combout &
+// ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .lut_mask = 16'h770A;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] &
+// (\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .lut_mask = 16'hE040;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .lut_mask = 16'h0F00;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N25
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~2_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [2] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N19
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .lut_mask = 16'hD8D8;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .lut_mask = 16'h0E04;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .lut_mask = 16'hDFCC;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add22~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .lut_mask = 16'hBB50;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~10 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~9_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add19~2_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .lut_mask = 16'h58F8;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|condition_2~combout )) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~2_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~0_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .lut_mask = 16'hD9C8;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~12 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (\hdmi_ctrl_inst|encode_inst2|Add15~2_combout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~11_combout
+// & ((\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ))))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .lut_mask = 16'hDAD0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst2|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add15~0_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .lut_mask = 16'h8C80;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .lut_mask = 16'h0F00;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~2_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .lut_mask = 16'h959A;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .lut_mask = 16'hCF03;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N5
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) # (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .lut_mask = 16'hFFF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N31
+dffeas \vga_ctrl_inst|cnt_v[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[2]~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [10]) # ((\vga_ctrl_inst|cnt_h [11]) # ((\vga_ctrl_inst|cnt_h [7]) # (\vga_ctrl_inst|cnt_h [9])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [10]),
+ .datab(\vga_ctrl_inst|cnt_h [11]),
+ .datac(\vga_ctrl_inst|cnt_h [7]),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hFFFE;
+defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~4_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N3
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~5_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .lut_mask = 16'hA35C;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N15
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N17
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~3_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .lut_mask = 16'h993C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N29
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [7])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~4_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N1
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .lut_mask = 16'hD8D8;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .lut_mask = 16'h5A5A;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~4_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .lut_mask = 16'h959A;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N31
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~5_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .lut_mask = 16'hC53A;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N7
+dffeas \vga_ctrl_inst|cnt_h[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N10
+cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
+// Equation(s):
+// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|cnt_v [3] & (\vga_ctrl_inst|cnt_v [9] & !\vga_ctrl_inst|cnt_v [0])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|cnt_v [0]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0080;
+defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N30
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~4 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[2]~4_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~4_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [2]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~4_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|Add1~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[2]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2]~4 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[2]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .lut_mask = 16'h55AA;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N23
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .lut_mask = 16'hAA00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .lut_mask = 16'h5A5A;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .lut_mask = 16'h7744;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N29
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .lut_mask = 16'hCC00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N4
+cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
+// Equation(s):
+// \vga_pic_inst|pix_data~22_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h00AA;
+defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|LessThan14~1 (
+// Equation(s):
+// \vga_pic_inst|LessThan14~1_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan14~1 .lut_mask = 16'hF000;
+defparam \vga_pic_inst|LessThan14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~24 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~24_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_pic_inst|LessThan14~1_combout & (!\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|LessThan14~1_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~24_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~24 .lut_mask = 16'h0002;
+defparam \vga_pic_inst|pix_data[13]~24 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data~29 (
+// Equation(s):
+// \vga_pic_inst|pix_data~29_combout = (\vga_ctrl_inst|pix_data_req~7_combout & ((\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout )) # (!\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~10_combout
+// ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~29_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~29 .lut_mask = 16'h2060;
+defparam \vga_pic_inst|pix_data~29 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout = \hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .lut_mask = 16'hA55A;
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N31
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~5_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [7] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .lut_mask = 16'h93C6;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N5
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .lut_mask = 16'hAA00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N31
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .lut_mask = 16'hAA00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .lut_mask = 16'h55AA;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst2|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .lut_mask = 16'h7722;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c0_reg2~q $
+// (!\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .lut_mask = 16'hD88D;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .lut_mask = 16'hCC55;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .lut_mask = 16'hC33C;
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~6_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
+// (((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .lut_mask = 16'hCAC5;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .lut_mask = 16'hAA0F;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N6
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~4 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~4_combout = (!\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~4 .lut_mask = 16'h0010;
+defparam \vga_pic_inst|LessThan17~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|pix_data~35 (
+// Equation(s):
+// \vga_pic_inst|pix_data~35_combout = (\vga_pic_inst|LessThan10~0_combout ) # ((\vga_pic_inst|pix_data[13]~11_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )))
+
+ .dataa(\vga_pic_inst|LessThan10~0_combout ),
+ .datab(\vga_pic_inst|pix_data[13]~11_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~35_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~35 .lut_mask = 16'hFFEF;
+defparam \vga_pic_inst|pix_data~35 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout = !\hdmi_ctrl_inst|encode_inst2|c0_reg2~q
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: CLKCTRL_G8
+cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .lut_mask = 16'hF0F0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~2_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~4_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~3_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~4_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~4_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~5_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N16
+cycloneive_io_obuf \ddc_scl~output (
+ .i(vcc),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(ddc_scl),
+ .obar());
+// synopsys translate_off
+defparam \ddc_scl~output .bus_hold = "false";
+defparam \ddc_scl~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y10_N16
+cycloneive_io_obuf \ddc_sda~output (
+ .i(vcc),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(ddc_sda),
+ .obar());
+// synopsys translate_off
+defparam \ddc_sda~output .bus_hold = "false";
+defparam \ddc_sda~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y21_N23
+cycloneive_io_obuf \tmds_clk_p~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_clk_p),
+ .obar());
+// synopsys translate_off
+defparam \tmds_clk_p~output .bus_hold = "false";
+defparam \tmds_clk_p~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y20_N2
+cycloneive_io_obuf \tmds_clk_n~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_clk_n),
+ .obar());
+// synopsys translate_off
+defparam \tmds_clk_n~output .bus_hold = "false";
+defparam \tmds_clk_n~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y22_N16
+cycloneive_io_obuf \tmds_data_p[0]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_p[0]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_p[0]~output .bus_hold = "false";
+defparam \tmds_data_p[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y23_N9
+cycloneive_io_obuf \tmds_data_p[1]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_p[1]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_p[1]~output .bus_hold = "false";
+defparam \tmds_data_p[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y24_N2
+cycloneive_io_obuf \tmds_data_p[2]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_p[2]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_p[2]~output .bus_hold = "false";
+defparam \tmds_data_p[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y22_N23
+cycloneive_io_obuf \tmds_data_n[0]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_n[0]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_n[0]~output .bus_hold = "false";
+defparam \tmds_data_n[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y23_N16
+cycloneive_io_obuf \tmds_data_n[1]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_n[1]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_n[1]~output .bus_hold = "false";
+defparam \tmds_data_n[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y24_N9
+cycloneive_io_obuf \tmds_data_n[2]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_n[2]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_n[2]~output .bus_hold = "false";
+defparam \tmds_data_n[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [0] $ (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1])
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .lut_mask = 16'h5A5A;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N19
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] $ (((\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [1])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .lut_mask = 16'h5AF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N9
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]) # (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .lut_mask = 16'hFAFA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N29
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .lut_mask = 16'h0C0C;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N25
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .lut_mask = 16'h0F00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N13
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .lut_mask = 16'h0A0A;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N31
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y15_N22
+cycloneive_io_ibuf \sys_clk~input (
+ .i(sys_clk),
+ .ibar(gnd),
+ .o(\sys_clk~input_o ));
+// synopsys translate_off
+defparam \sys_clk~input .bus_hold = "false";
+defparam \sys_clk~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: CLKCTRL_G9
+cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1]}),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock";
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y21_N25
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y20_N4
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
+// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
+
+ .dataa(\vga_ctrl_inst|cnt_v [0]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add1~0_combout ),
+ .cout(\vga_ctrl_inst|Add1~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h55AA;
+defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
+// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~1 ),
+ .combout(\vga_ctrl_inst|Add1~2_combout ),
+ .cout(\vga_ctrl_inst|Add1~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
+// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~5 ),
+ .combout(\vga_ctrl_inst|Add1~6_combout ),
+ .cout(\vga_ctrl_inst|Add1~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~3 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[3]~3_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~6_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [3]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~6_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|Add1~6_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[3]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3]~3 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[3]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X40_Y23_N0
+cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
+// Equation(s):
+// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y4_N1
+cycloneive_io_ibuf \sys_rst_n~input (
+ .i(sys_rst_n),
+ .ibar(gnd),
+ .o(\sys_rst_n~input_o ));
+// synopsys translate_off
+defparam \sys_rst_n~input .bus_hold = "false";
+defparam \sys_rst_n~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: FF_X40_Y23_N1
+dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .asdata(vcc),
+ .clrn(\sys_rst_n~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X40_Y23_N18
+cycloneive_lcell_comb \rst_n~0 (
+// Equation(s):
+// \rst_n~0_combout = ((!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\sys_rst_n~input_o )
+
+ .dataa(\sys_rst_n~input_o ),
+ .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .datac(gnd),
+ .datad(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .cin(gnd),
+ .combout(\rst_n~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \rst_n~0 .lut_mask = 16'h77FF;
+defparam \rst_n~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: CLKCTRL_G7
+cycloneive_clkctrl \rst_n~0clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\rst_n~0clkctrl_outclk ));
+// synopsys translate_off
+defparam \rst_n~0clkctrl .clock_type = "global clock";
+defparam \rst_n~0clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N5
+dffeas \vga_ctrl_inst|cnt_v[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[3]~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
+// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~7 ),
+ .combout(\vga_ctrl_inst|Add1~8_combout ),
+ .cout(\vga_ctrl_inst|Add1~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
+// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add0~0_combout ),
+ .cout(\vga_ctrl_inst|Add0~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
+defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N1
+dffeas \vga_ctrl_inst|cnt_h[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
+// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~1 ),
+ .combout(\vga_ctrl_inst|Add0~2_combout ),
+ .cout(\vga_ctrl_inst|Add0~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N3
+dffeas \vga_ctrl_inst|cnt_h[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
+// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~3 ),
+ .combout(\vga_ctrl_inst|Add0~4_combout ),
+ .cout(\vga_ctrl_inst|Add0~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N5
+dffeas \vga_ctrl_inst|cnt_h[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
+// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~7 ),
+ .combout(\vga_ctrl_inst|Add0~8_combout ),
+ .cout(\vga_ctrl_inst|Add0~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N9
+dffeas \vga_ctrl_inst|cnt_h[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
+// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~9 ),
+ .combout(\vga_ctrl_inst|Add0~10_combout ),
+ .cout(\vga_ctrl_inst|Add0~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
+// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [6]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~11 ),
+ .combout(\vga_ctrl_inst|Add0~12_combout ),
+ .cout(\vga_ctrl_inst|Add0~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N13
+dffeas \vga_ctrl_inst|cnt_h[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~12_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
+// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~13 ),
+ .combout(\vga_ctrl_inst|Add0~14_combout ),
+ .cout(\vga_ctrl_inst|Add0~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N15
+dffeas \vga_ctrl_inst|cnt_h[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~14_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
+// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~15 ),
+ .combout(\vga_ctrl_inst|Add0~16_combout ),
+ .cout(\vga_ctrl_inst|Add0~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [1] & (\vga_ctrl_inst|cnt_h [2] & \vga_ctrl_inst|cnt_h [0])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(\vga_ctrl_inst|cnt_h [1]),
+ .datac(\vga_ctrl_inst|cnt_h [2]),
+ .datad(\vga_ctrl_inst|cnt_h [0]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~1_combout ),
+ .datab(\vga_ctrl_inst|Add0~16_combout ),
+ .datac(\vga_ctrl_inst|Equal0~0_combout ),
+ .datad(\vga_ctrl_inst|Equal0~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h4CCC;
+defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N27
+dffeas \vga_ctrl_inst|cnt_h[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~2_combout = (!\vga_ctrl_inst|cnt_h [5] & (\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|cnt_h [6] & \vga_ctrl_inst|cnt_h [8])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(\vga_ctrl_inst|cnt_h [6]),
+ .datad(\vga_ctrl_inst|cnt_h [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0400;
+defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~18_combout = (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|Add0~17 )) # (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Add0~17 ) # (GND)))
+// \vga_ctrl_inst|Add0~19 = CARRY((!\vga_ctrl_inst|Add0~17 ) # (!\vga_ctrl_inst|cnt_h [9]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~17 ),
+ .combout(\vga_ctrl_inst|Add0~18_combout ),
+ .cout(\vga_ctrl_inst|Add0~19 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~1_combout = (\vga_ctrl_inst|Add0~18_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~1_combout ),
+ .datab(\vga_ctrl_inst|Add0~18_combout ),
+ .datac(\vga_ctrl_inst|Equal0~0_combout ),
+ .datad(\vga_ctrl_inst|Equal0~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h4CCC;
+defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N25
+dffeas \vga_ctrl_inst|cnt_h[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~20 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~20_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add0~19 $ (GND))) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add0~19 & VCC))
+// \vga_ctrl_inst|Add0~21 = CARRY((\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add0~19 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [10]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~19 ),
+ .combout(\vga_ctrl_inst|Add0~20_combout ),
+ .cout(\vga_ctrl_inst|Add0~21 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~20 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N21
+dffeas \vga_ctrl_inst|cnt_h[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~20_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[10] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~22 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~22_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add0~21 )
+
+ .dataa(\vga_ctrl_inst|cnt_h [11]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add0~21 ),
+ .combout(\vga_ctrl_inst|Add0~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~22 .lut_mask = 16'h5A5A;
+defparam \vga_ctrl_inst|Add0~22 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N23
+dffeas \vga_ctrl_inst|cnt_h[11] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~22_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[11] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~1_combout = (!\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|cnt_h [11] & !\vga_ctrl_inst|cnt_h [10])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(\vga_ctrl_inst|cnt_h [11]),
+ .datad(\vga_ctrl_inst|cnt_h [10]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h0004;
+defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|Equal0~2_combout & (\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|Equal0~0_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Equal0~2_combout ),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|Equal0~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'hC000;
+defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~5 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[4]~5_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~8_combout ) # ((\vga_ctrl_inst|cnt_v [4] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~8_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [4]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[4]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4]~5 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[4]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N1
+dffeas \vga_ctrl_inst|cnt_v[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[4]~5_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
+// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [5]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~9 ),
+ .combout(\vga_ctrl_inst|Add1~10_combout ),
+ .cout(\vga_ctrl_inst|Add1~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~10 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[5]~10_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [5] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~10_combout ) # ((\vga_ctrl_inst|cnt_v [5] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~10_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [5]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[5]~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5]~10 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[5]~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N3
+dffeas \vga_ctrl_inst|cnt_v[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[5]~10_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
+// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~11 ),
+ .combout(\vga_ctrl_inst|Add1~12_combout ),
+ .cout(\vga_ctrl_inst|Add1~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N14
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~8 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[6]~8_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~12_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [6]) # ((\vga_ctrl_inst|Add1~12_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~12_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [6]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[6]~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6]~8 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[6]~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N15
+dffeas \vga_ctrl_inst|cnt_v[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[6]~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
+// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~13 ),
+ .combout(\vga_ctrl_inst|Add1~14_combout ),
+ .cout(\vga_ctrl_inst|Add1~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N28
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~7 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[7]~7_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~14_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [7]) # ((\vga_ctrl_inst|Add1~14_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~14_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[7]~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7]~7 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[7]~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N29
+dffeas \vga_ctrl_inst|cnt_v[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[7]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
+// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~15 ),
+ .combout(\vga_ctrl_inst|Add1~16_combout ),
+ .cout(\vga_ctrl_inst|Add1~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N26
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~6 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[8]~6_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~16_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [8]) # ((\vga_ctrl_inst|Add1~16_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~16_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [8]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[8]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8]~6 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[8]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N27
+dffeas \vga_ctrl_inst|cnt_v[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[8]~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N26
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~18_combout = (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|Add1~17 )) # (!\vga_ctrl_inst|cnt_v [9] & ((\vga_ctrl_inst|Add1~17 ) # (GND)))
+// \vga_ctrl_inst|Add1~19 = CARRY((!\vga_ctrl_inst|Add1~17 ) # (!\vga_ctrl_inst|cnt_v [9]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [9]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~17 ),
+ .combout(\vga_ctrl_inst|Add1~18_combout ),
+ .cout(\vga_ctrl_inst|Add1~19 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N8
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~9 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[9]~9_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~18_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [9]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~18_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|Add1~18_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[9]~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9]~9 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[9]~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N9
+dffeas \vga_ctrl_inst|cnt_v[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[9]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~20 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~20_combout = (\vga_ctrl_inst|cnt_v [10] & (\vga_ctrl_inst|Add1~19 $ (GND))) # (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|Add1~19 & VCC))
+// \vga_ctrl_inst|Add1~21 = CARRY((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Add1~19 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [10]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~19 ),
+ .combout(\vga_ctrl_inst|Add1~20_combout ),
+ .cout(\vga_ctrl_inst|Add1~21 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~20 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N6
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[10]~12 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[10]~12_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~20_combout ) # ((\vga_ctrl_inst|cnt_v [10] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~20_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [10]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[10]~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[10]~12 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[10]~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N7
+dffeas \vga_ctrl_inst|cnt_v[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[10]~12_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[10] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N30
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~22 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~22_combout = \vga_ctrl_inst|cnt_v [11] $ (\vga_ctrl_inst|Add1~21 )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [11]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add1~21 ),
+ .combout(\vga_ctrl_inst|Add1~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~22 .lut_mask = 16'h3C3C;
+defparam \vga_ctrl_inst|Add1~22 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~11 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[11]~11_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~22_combout ) # ((\vga_ctrl_inst|cnt_v [11] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~22_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [11]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[11]~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[11]~11 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[11]~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N5
+dffeas \vga_ctrl_inst|cnt_v[11] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[11]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[11] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~8 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~8_combout = (!\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|cnt_v [11])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|cnt_v [10]),
+ .datad(\vga_ctrl_inst|cnt_v [11]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~8 .lut_mask = 16'h000F;
+defparam \vga_ctrl_inst|pix_data_req~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N12
+cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
+// Equation(s):
+// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|cnt_v [7])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [5]),
+ .datab(\vga_ctrl_inst|cnt_v [6]),
+ .datac(\vga_ctrl_inst|cnt_v [8]),
+ .datad(\vga_ctrl_inst|cnt_v [7]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N24
+cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
+// Equation(s):
+// \vga_ctrl_inst|always1~1_combout = (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|cnt_v [1] & (\vga_ctrl_inst|pix_data_req~8_combout & \vga_ctrl_inst|always1~0_combout )))
+
+ .dataa(\vga_ctrl_inst|cnt_v [4]),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(\vga_ctrl_inst|pix_data_req~8_combout ),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N20
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[11]~0_combout = ((\vga_ctrl_inst|always1~2_combout & \vga_ctrl_inst|always1~1_combout )) # (!\vga_ctrl_inst|Equal0~3_combout )
+
+ .dataa(\vga_ctrl_inst|always1~2_combout ),
+ .datab(\vga_ctrl_inst|always1~1_combout ),
+ .datac(\vga_ctrl_inst|Equal0~3_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[11]~0 .lut_mask = 16'h8F8F;
+defparam \vga_ctrl_inst|cnt_v[11]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[1]~1_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [1]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~2_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [1]),
+ .datad(\vga_ctrl_inst|Add1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[1]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1]~1 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[1]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N1
+dffeas \vga_ctrl_inst|cnt_v[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[1]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[0]~2_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~0_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [0]) # ((\vga_ctrl_inst|Add1~0_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [0]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[0]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0]~2 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[0]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N3
+dffeas \vga_ctrl_inst|cnt_v[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[0]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N16
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|cnt_v [3] & ((!\vga_ctrl_inst|cnt_v [0]) # (!\vga_ctrl_inst|cnt_v [1]))))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|cnt_v [0]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0105;
+defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N18
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|cnt_v [7])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(\vga_ctrl_inst|cnt_v [9]),
+ .datac(\vga_ctrl_inst|cnt_v [6]),
+ .datad(\vga_ctrl_inst|cnt_v [7]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N6
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~1_combout = (\vga_ctrl_inst|cnt_v [4] & (((!\vga_ctrl_inst|always1~0_combout )))) # (!\vga_ctrl_inst|cnt_v [4] & ((\vga_ctrl_inst|LessThan6~0_combout & (!\vga_ctrl_inst|pix_data_req~0_combout )) #
+// (!\vga_ctrl_inst|LessThan6~0_combout & ((!\vga_ctrl_inst|always1~0_combout )))))
+
+ .dataa(\vga_ctrl_inst|cnt_v [4]),
+ .datab(\vga_ctrl_inst|LessThan6~0_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h04BF;
+defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~2_combout = (!\vga_ctrl_inst|cnt_v [11] & (!\vga_ctrl_inst|cnt_h [11] & (!\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|cnt_h [10])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [11]),
+ .datab(\vga_ctrl_inst|cnt_h [11]),
+ .datac(\vga_ctrl_inst|cnt_v [10]),
+ .datad(\vga_ctrl_inst|cnt_h [10]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9]))))
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'h8C00;
+defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & (((!\vga_ctrl_inst|Equal0~1_combout ) # (!\vga_ctrl_inst|Equal0~2_combout )) # (!\vga_ctrl_inst|Equal0~0_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~0_combout ),
+ .datab(\vga_ctrl_inst|Equal0~2_combout ),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|Add0~10_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h7F00;
+defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N1
+dffeas \vga_ctrl_inst|cnt_h[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [1]),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~1_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
+defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~1_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~3_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
+defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~3_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~5_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
+defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~5_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~7_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [5]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~7_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~9_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00CF;
+defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
+// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~9_cout ),
+ .combout(\vga_ctrl_inst|Add2~10_combout ),
+ .cout(\vga_ctrl_inst|Add2~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
+defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
+// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~11 ),
+ .combout(\vga_ctrl_inst|Add2~12_combout ),
+ .cout(\vga_ctrl_inst|Add2~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
+// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~13 ),
+ .combout(\vga_ctrl_inst|Add2~14_combout ),
+ .cout(\vga_ctrl_inst|Add2~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hC303;
+defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|always0~1 (
+// Equation(s):
+// \vga_pic_inst|always0~1_combout = ((\vga_ctrl_inst|Add2~14_combout ) # (\vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|pix_data_req~7_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_pic_inst|always0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|always0~1 .lut_mask = 16'hFDFD;
+defparam \vga_pic_inst|always0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N30
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~2 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~2_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~2 .lut_mask = 16'h000A;
+defparam \vga_pic_inst|LessThan17~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~16_combout = (\vga_ctrl_inst|cnt_h [9] & ((GND) # (!\vga_ctrl_inst|Add2~15 ))) # (!\vga_ctrl_inst|cnt_h [9] & (\vga_ctrl_inst|Add2~15 $ (GND)))
+// \vga_ctrl_inst|Add2~17 = CARRY((\vga_ctrl_inst|cnt_h [9]) # (!\vga_ctrl_inst|Add2~15 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~15 ),
+ .combout(\vga_ctrl_inst|Add2~16_combout ),
+ .cout(\vga_ctrl_inst|Add2~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h3CCF;
+defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N18
+cycloneive_lcell_comb \vga_pic_inst|always0~2 (
+// Equation(s):
+// \vga_pic_inst|always0~2_combout = (\vga_ctrl_inst|Add2~18_combout ) # ((\vga_pic_inst|always0~1_combout ) # ((\vga_pic_inst|LessThan17~2_combout ) # (\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~18_combout ),
+ .datab(\vga_pic_inst|always0~1_combout ),
+ .datac(\vga_pic_inst|LessThan17~2_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|always0~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|always0~2 .lut_mask = 16'hFFFE;
+defparam \vga_pic_inst|always0~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N8
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~8 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~8_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~8 .lut_mask = 16'h3F3F;
+defparam \vga_pic_inst|pix_data[13]~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~18_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add2~17 & VCC)) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add2~17 ))
+// \vga_ctrl_inst|Add2~19 = CARRY((!\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add2~17 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [10]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~17 ),
+ .combout(\vga_ctrl_inst|Add2~18_combout ),
+ .cout(\vga_ctrl_inst|Add2~19 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~18 .lut_mask = 16'hA505;
+defparam \vga_ctrl_inst|Add2~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~9 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~9_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data[13]~8_combout & (!\vga_ctrl_inst|Add2~18_combout & !\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|pix_data[13]~8_combout ),
+ .datac(\vga_ctrl_inst|Add2~18_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~9 .lut_mask = 16'h0008;
+defparam \vga_pic_inst|pix_data[13]~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~20 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~20_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add2~19 )
+
+ .dataa(\vga_ctrl_inst|cnt_h [11]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add2~19 ),
+ .combout(\vga_ctrl_inst|Add2~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~20 .lut_mask = 16'h5A5A;
+defparam \vga_ctrl_inst|Add2~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|pix_x[11]~0 (
+// Equation(s):
+// \vga_ctrl_inst|pix_x[11]~0_combout = (\vga_ctrl_inst|Add2~20_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~20_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_x[11]~0 .lut_mask = 16'hFF55;
+defparam \vga_ctrl_inst|pix_x[11]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
+// Equation(s):
+// \vga_pic_inst|pix_data~16_combout = (!\vga_pic_inst|pix_data[9]~15_combout & (\vga_pic_inst|always0~2_combout & (\vga_pic_inst|pix_data[13]~9_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
+
+ .dataa(\vga_pic_inst|pix_data[9]~15_combout ),
+ .datab(\vga_pic_inst|always0~2_combout ),
+ .datac(\vga_pic_inst|pix_data[13]~9_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'h0040;
+defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
+// Equation(s):
+// \vga_pic_inst|pix_data~17_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~16_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~17_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0030;
+defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~34 (
+// Equation(s):
+// \vga_pic_inst|pix_data~34_combout = ((\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data~17_combout & !\vga_ctrl_inst|Add2~18_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|pix_data~16_combout ),
+ .datac(\vga_pic_inst|pix_data~17_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~34_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~34 .lut_mask = 16'h33B3;
+defparam \vga_pic_inst|pix_data~34 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y22_N10
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~5 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~5_combout = \vga_ctrl_inst|cnt_h [9] $ (\vga_ctrl_inst|cnt_h [8])
+
+ .dataa(\vga_ctrl_inst|cnt_h [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~5 .lut_mask = 16'h55AA;
+defparam \vga_ctrl_inst|pix_data_req~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y22_N12
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~6 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~6_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Equal0~0_combout ) # (!\vga_ctrl_inst|LessThan4~0_combout )))) # (!\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|LessThan4~0_combout &
+// (!\vga_ctrl_inst|Equal0~0_combout & \vga_ctrl_inst|cnt_h [9])))
+
+ .dataa(\vga_ctrl_inst|LessThan4~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|Equal0~0_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~6 .lut_mask = 16'h02C4;
+defparam \vga_ctrl_inst|pix_data_req~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~7 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~7_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & ((\vga_ctrl_inst|pix_data_req~5_combout ) # (\vga_ctrl_inst|pix_data_req~6_combout ))))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~5_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~6_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~7 .lut_mask = 16'hA800;
+defparam \vga_ctrl_inst|pix_data_req~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
+// Equation(s):
+// \vga_pic_inst|pix_data~12_combout = (\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~18_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'h0020;
+defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~11 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~11_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|pix_data_req~7_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~11 .lut_mask = 16'hEFAF;
+defparam \vga_pic_inst|pix_data[13]~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N22
+cycloneive_lcell_comb \vga_pic_inst|always0~0 (
+// Equation(s):
+// \vga_pic_inst|always0~0_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )) # (!\vga_pic_inst|pix_data[13]~11_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_pic_inst|pix_data[13]~11_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|always0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|always0~0 .lut_mask = 16'hFFBF;
+defparam \vga_pic_inst|always0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N18
+cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'h8800;
+defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N2
+cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
+// Equation(s):
+// \vga_pic_inst|pix_data~13_combout = (\vga_ctrl_inst|Add2~12_combout & (((\vga_pic_inst|always0~0_combout ) # (\vga_pic_inst|LessThan14~0_combout )))) # (!\vga_ctrl_inst|Add2~12_combout & (!\vga_pic_inst|pix_data~12_combout &
+// ((\vga_pic_inst|always0~0_combout ) # (\vga_pic_inst|LessThan14~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_pic_inst|pix_data~12_combout ),
+ .datac(\vga_pic_inst|always0~0_combout ),
+ .datad(\vga_pic_inst|LessThan14~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'hBBB0;
+defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N8
+cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
+// Equation(s):
+// \vga_pic_inst|pix_data~18_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & (!\vga_pic_inst|pix_data~13_combout & !\vga_ctrl_inst|pix_x[11]~0_combout ))) # (!\vga_pic_inst|pix_data~34_combout )
+
+ .dataa(\vga_pic_inst|pix_data[13]~10_combout ),
+ .datab(\vga_pic_inst|pix_data~34_combout ),
+ .datac(\vga_pic_inst|pix_data~13_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h3337;
+defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N9
+dffeas \vga_pic_inst|pix_data[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~18_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N30
+cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
+// Equation(s):
+// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((!\vga_pic_inst|LessThan14~0_combout & (!\vga_pic_inst|always0~0_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
+
+ .dataa(\vga_pic_inst|LessThan17~3_combout ),
+ .datab(\vga_pic_inst|LessThan14~0_combout ),
+ .datac(\vga_pic_inst|always0~0_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~19_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hAAAB;
+defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
+// Equation(s):
+// \vga_pic_inst|pix_data~20_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & \vga_pic_inst|pix_data~19_combout )) # (!\vga_pic_inst|pix_data~34_combout )
+
+ .dataa(\vga_pic_inst|pix_data[13]~10_combout ),
+ .datab(gnd),
+ .datac(\vga_pic_inst|pix_data~19_combout ),
+ .datad(\vga_pic_inst|pix_data~34_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h50FF;
+defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N11
+dffeas \vga_pic_inst|pix_data[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~20_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add6~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add6~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~3_combout & (\vga_pic_inst|pix_data [4] & \vga_pic_inst|pix_data [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .datac(\vga_pic_inst|pix_data [4]),
+ .datad(\vga_pic_inst|pix_data [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .lut_mask = 16'h8000;
+defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N25
+dffeas \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan4~0_combout = (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(\vga_ctrl_inst|cnt_h [6]),
+ .datad(\vga_ctrl_inst|cnt_h [5]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan4~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add4~0_combout = (\vga_ctrl_inst|cnt_h [8] & (((!\vga_ctrl_inst|cnt_h [7] & \vga_ctrl_inst|LessThan4~0_combout )) # (!\vga_ctrl_inst|cnt_h [9]))) # (!\vga_ctrl_inst|cnt_h [8] & ((\vga_ctrl_inst|cnt_h [9]) #
+// ((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|LessThan4~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|LessThan4~0_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .lut_mask = 16'h75AE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~4_combout = (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9])))
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'hAF00;
+defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[2]~1 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[2]~1_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [0] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [0]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[2]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[2]~1 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[2]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[2]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & ((!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .lut_mask = 16'h3A3A;
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N5
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add12~1_combout = (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] & \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .lut_mask = 16'h5500;
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N23
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) # (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .lut_mask = 16'hFEFE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N1
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .lut_mask = 16'h40F4;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst0|Add14~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .lut_mask = 16'h00FF;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N25
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst0|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst0|cnt [1] & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst0|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add19~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst0|cnt [1] $ (VCC)))
+// \hdmi_ctrl_inst|encode_inst0|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst0|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add22~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .lut_mask = 16'h99EE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .lut_mask = 16'h7744;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .lut_mask = 16'h9090;
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N19
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~0_combout & (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .lut_mask = 16'hA4AE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~14 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~13_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add19~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .lut_mask = 16'h58F8;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~0 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[1]~0_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [4] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [4]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[1]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[1]~0 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[1]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N19
+dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[1]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X28_Y21_N1
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2])))) #
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .lut_mask = 16'h9009;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .lut_mask = 16'h00F0;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ) # (\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .lut_mask = 16'h00EE;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout &
+// (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout &
+// ((!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add4~1_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout ))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .lut_mask = 16'hA000;
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N15
+dffeas \hdmi_ctrl_inst|encode_inst2|de_reg1 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|de_reg1~q
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .lut_mask = 16'hF0F0;
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst2|de_reg2 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N7
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~16 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|cnt [0])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .lut_mask = 16'h3F30;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~12_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|cnt [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_2~combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] &
+// ((\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ) # ((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .lut_mask = 16'h44F4;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~6_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add22~5 )
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add22~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .lut_mask = 16'hC3C3;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~6_combout = \hdmi_ctrl_inst|encode_inst0|Add19~5 $ (\hdmi_ctrl_inst|encode_inst0|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add19~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~6_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .lut_mask = 16'hFEDC;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .lut_mask = 16'h00FF;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N21
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .lut_mask = 16'hAEEE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst0|Add20~2_combout )) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .lut_mask = 16'hEE50;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~7_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~7_combout
+// & (\hdmi_ctrl_inst|encode_inst0|Add17~4_combout & (\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .lut_mask = 16'hEC2C;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst0|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .lut_mask = 16'hA55A;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N15
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst0|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [4] &
+// (\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & ((\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .lut_mask = 16'hFA0C;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N27
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~1_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .lut_mask = 16'hB41E;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~1_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~1 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan0~1_combout = (!\vga_ctrl_inst|LessThan0~0_combout & (!\vga_ctrl_inst|cnt_h [8] & ((!\vga_ctrl_inst|cnt_h [6]) # (!\vga_ctrl_inst|cnt_h [5]))))
+
+ .dataa(\vga_ctrl_inst|LessThan0~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [5]),
+ .datac(\vga_ctrl_inst|cnt_h [6]),
+ .datad(\vga_ctrl_inst|cnt_h [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan0~1 .lut_mask = 16'h0015;
+defparam \vga_ctrl_inst|LessThan0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X30_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg1 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|LessThan0~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X30_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg2 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .lut_mask = 16'h3C3C;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N7
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~2_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .lut_mask = 16'hA35C;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N19
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [3]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .lut_mask = 16'hCCAA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .lut_mask = 16'hDD88;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N31
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X30_Y21_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [0]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .lut_mask = 16'hF0AA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N7
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y22_N18
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~30 (
+// Equation(s):
+// \vga_pic_inst|pix_data~30_combout = (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~18_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~20_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~30_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~30 .lut_mask = 16'h0010;
+defparam \vga_pic_inst|pix_data~30 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N12
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~3 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~3_combout = (\vga_pic_inst|LessThan17~4_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~18_combout )))
+
+ .dataa(\vga_pic_inst|LessThan17~4_combout ),
+ .datab(\vga_ctrl_inst|Add2~20_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~3 .lut_mask = 16'h0020;
+defparam \vga_pic_inst|LessThan17~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N8
+cycloneive_lcell_comb \vga_pic_inst|pix_data~31 (
+// Equation(s):
+// \vga_pic_inst|pix_data~31_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((\vga_pic_inst|pix_data~29_combout & \vga_pic_inst|pix_data~30_combout ))
+
+ .dataa(\vga_pic_inst|pix_data~29_combout ),
+ .datab(\vga_pic_inst|pix_data~30_combout ),
+ .datac(gnd),
+ .datad(\vga_pic_inst|LessThan17~3_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~31_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~31 .lut_mask = 16'hFF88;
+defparam \vga_pic_inst|pix_data~31 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X26_Y23_N9
+dffeas \vga_pic_inst|pix_data[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~31_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[6]~4 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[6]~4_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [8] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[6]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[6]~4 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[6]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N23
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[6]~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|pix_x[10]~1 (
+// Equation(s):
+// \vga_ctrl_inst|pix_x[10]~1_combout = (\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~18_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_x[10]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_x[10]~1 .lut_mask = 16'hF5F5;
+defparam \vga_ctrl_inst|pix_x[10]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N22
+cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
+// Equation(s):
+// \vga_pic_inst|pix_data~23_combout = (\vga_pic_inst|pix_data~22_combout & (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_pic_inst|pix_data~22_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~23_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'h0020;
+defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N2
+cycloneive_lcell_comb \vga_pic_inst|LessThan10~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan10~0_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|LessThan17~2_combout ) # (!\vga_ctrl_inst|Add2~14_combout ))))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_pic_inst|LessThan17~2_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan10~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan10~0 .lut_mask = 16'h00A2;
+defparam \vga_pic_inst|LessThan10~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
+// Equation(s):
+// \vga_pic_inst|pix_data~25_combout = (!\vga_ctrl_inst|pix_x[10]~1_combout & ((\vga_pic_inst|pix_data~23_combout ) # ((!\vga_pic_inst|pix_data[13]~24_combout & \vga_pic_inst|LessThan10~0_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data[13]~24_combout ),
+ .datab(\vga_ctrl_inst|pix_x[10]~1_combout ),
+ .datac(\vga_pic_inst|pix_data~23_combout ),
+ .datad(\vga_pic_inst|LessThan10~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~25_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h3130;
+defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~14 (
+// Equation(s):
+// \vga_pic_inst|pix_data[9]~14_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~14_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[9]~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9]~14 .lut_mask = 16'h00AA;
+defparam \vga_pic_inst|pix_data[9]~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N14
+cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~15 (
+// Equation(s):
+// \vga_pic_inst|pix_data[9]~15_combout = (\vga_pic_inst|LessThan17~2_combout & (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~18_combout & \vga_pic_inst|pix_data[9]~14_combout )))
+
+ .dataa(\vga_pic_inst|LessThan17~2_combout ),
+ .datab(\vga_ctrl_inst|Add2~16_combout ),
+ .datac(\vga_ctrl_inst|Add2~18_combout ),
+ .datad(\vga_pic_inst|pix_data[9]~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[9]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9]~15 .lut_mask = 16'h0200;
+defparam \vga_pic_inst|pix_data[9]~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N22
+cycloneive_lcell_comb \vga_pic_inst|pix_data~36 (
+// Equation(s):
+// \vga_pic_inst|pix_data~36_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & !\vga_ctrl_inst|Add2~20_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|always0~2_combout ),
+ .datac(\vga_pic_inst|pix_data[9]~15_combout ),
+ .datad(\vga_ctrl_inst|Add2~20_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~36_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~36 .lut_mask = 16'h0008;
+defparam \vga_pic_inst|pix_data~36 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
+// Equation(s):
+// \vga_pic_inst|pix_data~21_combout = (!\vga_ctrl_inst|Add2~12_combout & (\vga_pic_inst|pix_data~12_combout & ((\vga_pic_inst|always0~0_combout ) # (\vga_pic_inst|LessThan14~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_pic_inst|pix_data~12_combout ),
+ .datac(\vga_pic_inst|always0~0_combout ),
+ .datad(\vga_pic_inst|LessThan14~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~21_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'h4440;
+defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N14
+cycloneive_lcell_comb \vga_pic_inst|pix_data~28 (
+// Equation(s):
+// \vga_pic_inst|pix_data~28_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~35_combout ),
+ .datab(\vga_pic_inst|pix_data~25_combout ),
+ .datac(\vga_pic_inst|pix_data~36_combout ),
+ .datad(\vga_pic_inst|pix_data~21_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~28_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~28 .lut_mask = 16'hE0C0;
+defparam \vga_pic_inst|pix_data~28 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N15
+dffeas \vga_pic_inst|pix_data[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~28_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[7]~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [9] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [9]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
+// Equation(s):
+// \vga_pic_inst|pix_data~26_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~35_combout ),
+ .datab(\vga_pic_inst|pix_data~25_combout ),
+ .datac(\vga_pic_inst|pix_data~36_combout ),
+ .datad(\vga_pic_inst|pix_data~21_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~26_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hE0C0;
+defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data~27 (
+// Equation(s):
+// \vga_pic_inst|pix_data~27_combout = (\vga_pic_inst|pix_data~26_combout ) # ((!\vga_pic_inst|pix_data[9]~15_combout & (!\vga_pic_inst|always0~2_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
+
+ .dataa(\vga_pic_inst|pix_data[9]~15_combout ),
+ .datab(\vga_pic_inst|always0~2_combout ),
+ .datac(\vga_pic_inst|pix_data~26_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~27_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~27 .lut_mask = 16'hF0F1;
+defparam \vga_pic_inst|pix_data~27 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N21
+dffeas \vga_pic_inst|pix_data[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~27_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~2 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[10]~2_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [10] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [10]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[10]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[10]~2 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[10]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N17
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[10]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add13~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
+// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
+// (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .lut_mask = 16'hF690;
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (((!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]) # ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]) # (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .lut_mask = 16'h777E;
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])))) #
+// (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .lut_mask = 16'h0990;
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N11
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & \hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]) # ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .lut_mask = 16'h7150;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .lut_mask = 16'h0A8E;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add14~2_combout = (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [4] & ((\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ) # ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [4] &
+// (((\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .lut_mask = 16'hAAD8;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add5~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add5~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~1_combout & (\vga_pic_inst|pix_data [8] & ((\vga_pic_inst|pix_data [9]) # (\vga_pic_inst|pix_data [10]))))
+
+ .dataa(\vga_pic_inst|pix_data [9]),
+ .datab(\vga_pic_inst|pix_data [10]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
+ .datad(\vga_pic_inst|pix_data [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .lut_mask = 16'hE000;
+defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .lut_mask = 16'h7722;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y21_N7
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~16 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .lut_mask = 16'h44EE;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add19~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add19~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .lut_mask = 16'h5A5F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add19~3 & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add19~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add19~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add19~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .lut_mask = 16'hA50A;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~6_combout = \hdmi_ctrl_inst|encode_inst1|Add19~5 $ (\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add19~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add22~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add22~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add22~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .lut_mask = 16'h5AAF;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~6_combout = \hdmi_ctrl_inst|encode_inst1|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add22~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~6_combout )) #
+// (!\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .lut_mask = 16'hEFEA;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add13~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
+// (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .lut_mask = 16'hC0FC;
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N23
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & (((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~7_combout &
+// (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .lut_mask = 16'h7A2A;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout &
+// (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout &
+// ((!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~12_combout &
+// (\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout &
+// (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout &
+// ((!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~4_combout &
+// (\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst1|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .lut_mask = 16'hA55A;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N19
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N15
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [4] & (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|cnt [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst1|cnt [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .lut_mask = 16'h00CC;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .lut_mask = 16'h00EE;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N11
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .lut_mask = 16'hCCE2;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~14 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .lut_mask = 16'hE2CC;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N13
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~5_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .lut_mask = 16'hA7A2;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .lut_mask = 16'hECEC;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N17
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_2~combout = (\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & (((!\hdmi_ctrl_inst|encode_inst1|cnt [3] & \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .lut_mask = 16'h3B0A;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~1_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~1_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N1
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [3]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .lut_mask = 16'hCCAA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .lut_mask = 16'hD8D8;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N21
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [0]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .lut_mask = 16'hCCAA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N31
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y23_N11
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~1_combout
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .lut_mask = 16'hAAAA;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N22
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan1~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|always1~1_combout & (!\vga_ctrl_inst|cnt_v [3] & !\vga_ctrl_inst|cnt_v [9])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(\vga_ctrl_inst|always1~1_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|cnt_v [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'h0004;
+defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N23
+dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg1 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|LessThan1~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|c1_reg1~q
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N3
+dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg2 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
+// (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .lut_mask = 16'hAAC3;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N25
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & \hdmi_ctrl_inst|encode_inst2|data_out [9])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .lut_mask = 16'hCC00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .lut_mask = 16'hBB88;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N21
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N5
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N25
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~37 (
+// Equation(s):
+// \vga_pic_inst|pix_data~37_combout = ((\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data~23_combout & !\vga_ctrl_inst|Add2~18_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|pix_data~16_combout ),
+ .datac(\vga_pic_inst|pix_data~23_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~37_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~37 .lut_mask = 16'h33B3;
+defparam \vga_pic_inst|pix_data~37 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N6
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~10 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~10_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data[13]~9_combout & !\vga_ctrl_inst|Add2~20_combout ))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(\vga_pic_inst|pix_data[13]~9_combout ),
+ .datad(\vga_ctrl_inst|Add2~20_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~10 .lut_mask = 16'h00A0;
+defparam \vga_pic_inst|pix_data[13]~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N18
+cycloneive_lcell_comb \vga_pic_inst|pix_data~33 (
+// Equation(s):
+// \vga_pic_inst|pix_data~33_combout = (\vga_pic_inst|pix_data~37_combout & ((\vga_pic_inst|pix_data~19_combout ) # (\vga_pic_inst|pix_data[13]~10_combout )))
+
+ .dataa(gnd),
+ .datab(\vga_pic_inst|pix_data~37_combout ),
+ .datac(\vga_pic_inst|pix_data~19_combout ),
+ .datad(\vga_pic_inst|pix_data[13]~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~33_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~33 .lut_mask = 16'hCCC0;
+defparam \vga_pic_inst|pix_data~33 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N19
+dffeas \vga_pic_inst|pix_data[13] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~33_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|pix_data~32 (
+// Equation(s):
+// \vga_pic_inst|pix_data~32_combout = (\vga_pic_inst|pix_data~37_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & ((\vga_pic_inst|pix_data[13]~9_combout ) # (!\vga_pic_inst|pix_data~13_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data[13]~9_combout ),
+ .datab(\vga_pic_inst|pix_data~37_combout ),
+ .datac(\vga_pic_inst|pix_data~13_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~32_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~32 .lut_mask = 16'h008C;
+defparam \vga_pic_inst|pix_data~32 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N25
+dffeas \vga_pic_inst|pix_data[15] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~32_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add6~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\vga_pic_inst|pix_data [13] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_pic_inst|pix_data [15])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .datab(\vga_pic_inst|pix_data [13]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_pic_inst|pix_data [15]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .lut_mask = 16'h8000;
+defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .lut_mask = 16'h00FF;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[13]~6 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[13]~6_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [13] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [13]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[13]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[13]~6 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[13]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[13]~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~5 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[12]~5_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [15] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [15]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[12]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[12]~5 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[12]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[12]~5_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]) # ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) # (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .lut_mask = 16'hFFFA;
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N25
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add12~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .lut_mask = 16'h00AA;
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N15
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (!\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .lut_mask = 16'h5F50;
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .lut_mask = 16'h0C8E;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst2|Add14~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) #
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .lut_mask = 16'h8241;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_2~combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .lut_mask = 16'h0CAE;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .lut_mask = 16'h3C3F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .lut_mask = 16'hA050;
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|Add23~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add23~1 ))))
+// \hdmi_ctrl_inst|encode_inst2|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((!\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|Add23~1 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add23~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add23~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .lut_mask = 16'h692B;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add23~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst2|Add23~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add23~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add23~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .lut_mask = 16'h5A05;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|condition_2~combout )) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~4_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .lut_mask = 16'hD9C8;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~16 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|cnt [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .lut_mask = 16'h7744;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC)))
+// \hdmi_ctrl_inst|encode_inst2|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1]) # (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add22~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .lut_mask = 16'h99EE;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add22~0_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .lut_mask = 16'hAA72;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & \hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add19~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~14 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~13_combout & (((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~13_combout
+// & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .lut_mask = 16'h7C4C;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout &
+// (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout &
+// ((!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~12_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|cnt [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst2|cnt [3])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .lut_mask = 16'h00F0;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .lut_mask = 16'h00EE;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add15~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|cnt
+// [2] & !\hdmi_ctrl_inst|encode_inst2|Add15~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// (\hdmi_ctrl_inst|encode_inst2|Add20~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add20~1 ))))
+// \hdmi_ctrl_inst|encode_inst2|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
+// ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~1 ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add20~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add20~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .lut_mask = 16'h694D;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ) # (\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add23~2_combout & ((!\hdmi_ctrl_inst|encode_inst2|condition_2~combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .lut_mask = 16'hAAE4;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~7_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~7_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add17~4_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .lut_mask = 16'hCFA0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
+// (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout &
+// ((!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add15~5
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] &
+// ((!\hdmi_ctrl_inst|encode_inst2|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add20~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add20~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add20~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .lut_mask = 16'h5AAF;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~3_combout & (\hdmi_ctrl_inst|encode_inst2|Add15~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~3_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ))))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .lut_mask = 16'hE6C4;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~4_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~6_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~6_combout = \hdmi_ctrl_inst|encode_inst2|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add22~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~6_combout = \hdmi_ctrl_inst|encode_inst2|Add19~5 $ (\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add19~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~6_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .lut_mask = 16'hFEF4;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~8_combout = \hdmi_ctrl_inst|encode_inst2|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add20~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add20~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~8_combout = \hdmi_ctrl_inst|encode_inst2|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add23~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add23~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~8_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~6_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .lut_mask = 16'hEE50;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add15~8_combout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ))))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .lut_mask = 16'hDDA0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst2|Add16~2_combout $ (\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .lut_mask = 16'hC33C;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N11
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst2|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [4] &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & (\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .lut_mask = 16'hFC0A;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .lut_mask = 16'h7722;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .lut_mask = 16'hCF03;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .lut_mask = 16'hCC00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N5
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N3
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N1
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y24_N4
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y22_N25
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y23_N18
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y24_N11
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+endmodule
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo
index e7677ed..546f76d 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo
@@ -1,9062 +1,9062 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This file contains Slow Corner delays for the design using part EP4CE15F23C8,
-// with speed grade 8, core voltage 1.2V, and temperature 85 Celsius
-//
-
-//
-// This SDF file should be used for ModelSim (Verilog) only
-//
-
-(DELAYFILE
- (SDFVERSION "2.1")
- (DESIGN "hdmi_colorbar")
- (DATE "06/02/2023 04:17:19")
- (VENDOR "Altera")
- (PROGRAM "Quartus II 64-Bit")
- (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version")
- (DIVIDER .)
- (TIMESCALE 1 ps)
-
- (CELL
- (CELLTYPE "cycloneive_pll")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
- (DELAY
- (ABSOLUTE
- (PORT areset (4503:4503:4503) (4503:4503:4503))
- (PORT inclk[0] (2340:2340:2340) (2340:2340:2340))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1720:1720:1720) (1682:1682:1682))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (PORT sload (1425:1425:1425) (1434:1434:1434))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sclr (1477:1477:1477) (1540:1540:1540))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sclr (1477:1477:1477) (1540:1540:1540))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sclr (1477:1477:1477) (1540:1540:1540))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1695:1695:1695) (1667:1667:1667))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (PORT sload (1861:1861:1861) (1950:1950:1950))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1638:1638:1638) (1522:1522:1522))
- (PORT clrn (1891:1891:1891) (1861:1861:1861))
- (PORT sload (1745:1745:1745) (1782:1782:1782))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1909:1909:1909) (1832:1832:1832))
- (PORT clrn (1891:1891:1891) (1861:1861:1861))
- (PORT sload (1745:1745:1745) (1782:1782:1782))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1152:1152:1152) (1087:1087:1087))
- (PORT datab (658:658:658) (680:680:680))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (596:596:596) (653:653:653))
- (PORT datab (834:834:834) (829:829:829))
- (IOPATH dataa combout (453:453:453) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (473:473:473) (489:489:489))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (575:575:575) (619:619:619))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (593:593:593) (632:632:632))
- (PORT datab (364:364:364) (446:446:446))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (894:894:894) (862:862:862))
- (PORT datab (648:648:648) (666:666:666))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (912:912:912) (872:872:872))
- (PORT datab (615:615:615) (647:647:647))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (676:676:676) (689:689:689))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (667:667:667) (676:676:676))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1151:1151:1151) (1087:1087:1087))
- (PORT datab (660:660:660) (683:683:683))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (595:595:595) (651:651:651))
- (PORT datab (835:835:835) (829:829:829))
- (IOPATH dataa combout (461:461:461) (486:486:486))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (455:455:455) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (573:573:573) (617:617:617))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (596:596:596) (635:635:635))
- (PORT datab (368:368:368) (450:450:450))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (925:925:925) (879:879:879))
- (PORT datab (650:650:650) (669:669:669))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (835:835:835) (820:820:820))
- (PORT datab (617:617:617) (649:649:649))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (952:952:952) (905:905:905))
- (PORT datab (678:678:678) (691:691:691))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (669:669:669) (678:678:678))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (387:387:387) (471:471:471))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (648:648:648) (655:655:655))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (313:313:313))
- (PORT datab (278:278:278) (303:303:303))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (833:833:833) (739:739:739))
- (PORT datab (275:275:275) (299:299:299))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (486:486:486) (477:477:477))
- (PORT datab (275:275:275) (299:299:299))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1593:1593:1593) (1472:1472:1472))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (PORT sload (1861:1861:1861) (1950:1950:1950))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1197:1197:1197) (1138:1138:1138))
- (PORT datab (1156:1156:1156) (1099:1099:1099))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1117:1117:1117) (1073:1073:1073))
- (PORT datab (1847:1847:1847) (1760:1760:1760))
- (IOPATH dataa combout (453:453:453) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (473:473:473) (489:489:489))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (954:954:954) (924:924:924))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (895:895:895) (878:878:878))
- (PORT datab (564:564:564) (590:590:590))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (901:901:901) (889:889:889))
- (PORT datab (1145:1145:1145) (1082:1082:1082))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (923:923:923) (902:902:902))
- (PORT datab (1132:1132:1132) (1072:1072:1072))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (917:917:917) (895:895:895))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (876:876:876) (872:872:872))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1195:1195:1195) (1136:1136:1136))
- (PORT datab (1157:1157:1157) (1100:1100:1100))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (955:955:955) (925:925:925))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1827:1827:1827) (1698:1698:1698))
- (PORT datab (921:921:921) (895:895:895))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (918:918:918) (896:896:896))
- (PORT datab (901:901:901) (878:878:878))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (875:875:875) (871:871:871))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (881:881:881) (872:872:872))
- (PORT datab (644:644:644) (657:657:657))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (924:924:924) (906:906:906))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (870:870:870) (859:859:859))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (590:590:590) (616:616:616))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (882:882:882) (873:873:873))
- (PORT datab (643:643:643) (656:656:656))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (922:922:922) (904:904:904))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (869:869:869) (859:859:859))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1639:1639:1639) (1523:1523:1523))
- (PORT clrn (1891:1891:1891) (1861:1861:1861))
- (PORT sload (1745:1745:1745) (1782:1782:1782))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (903:903:903) (913:913:913))
- (PORT datab (912:912:912) (901:901:901))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (826:826:826) (816:816:816))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (864:864:864) (829:829:829))
- (PORT datab (358:358:358) (434:434:434))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (572:572:572) (609:609:609))
- (PORT datab (835:835:835) (807:807:807))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (361:361:361) (445:445:445))
- (PORT datab (823:823:823) (799:799:799))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (844:844:844) (829:829:829))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (902:902:902) (912:912:912))
- (PORT datab (908:908:908) (897:897:897))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (823:823:823) (813:813:813))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (827:827:827) (822:822:822))
- (PORT datab (368:368:368) (449:449:449))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (371:371:371) (458:458:458))
- (PORT datab (870:870:870) (840:840:840))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (884:884:884) (866:866:866))
- (PORT datab (360:360:360) (437:437:437))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~8)
- (DELAY
- (ABSOLUTE
- (PORT datad (355:355:355) (432:432:432))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (581:581:581) (607:607:607))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (573:573:573) (617:617:617))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (594:594:594) (617:617:617))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (948:948:948) (905:905:905))
- (PORT datab (863:863:863) (851:851:851))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (583:583:583) (610:610:610))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (576:576:576) (619:619:619))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (596:596:596) (619:619:619))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1674:1674:1674) (1551:1551:1551))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (PORT sload (1763:1763:1763) (1796:1796:1796))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1578:1578:1578) (1474:1474:1474))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sload (1624:1624:1624) (1684:1684:1684))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1720:1720:1720) (1681:1681:1681))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (PORT sload (1425:1425:1425) (1434:1434:1434))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (370:370:370) (460:460:460))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (993:993:993) (970:970:970))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (627:627:627) (630:630:630))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (585:585:585) (622:622:622))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (629:629:629) (633:633:633))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (362:362:362) (446:446:446))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (625:625:625) (633:633:633))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (571:571:571) (609:609:609))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (624:624:624) (633:633:633))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~18)
- (DELAY
- (ABSOLUTE
- (PORT datab (593:593:593) (620:620:620))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~20)
- (DELAY
- (ABSOLUTE
- (PORT dataa (362:362:362) (445:445:445))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (296:296:296) (374:374:374))
- (PORT datad (407:407:407) (519:519:519))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (597:597:597) (654:654:654))
- (PORT datab (655:655:655) (676:676:676))
- (PORT datac (534:534:534) (564:564:564))
- (PORT datad (523:523:523) (548:548:548))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (858:858:858) (858:858:858))
- (PORT datab (649:649:649) (667:667:667))
- (PORT datac (574:574:574) (610:610:610))
- (PORT datad (553:553:553) (583:583:583))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (903:903:903) (912:912:912))
- (PORT datab (911:911:911) (901:901:901))
- (PORT datac (899:899:899) (887:887:887))
- (PORT datad (822:822:822) (805:805:805))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datac (304:304:304) (387:387:387))
- (PORT datad (407:407:407) (519:519:519))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (859:859:859) (770:770:770))
- (PORT datab (865:865:865) (826:826:826))
- (PORT datac (750:750:750) (670:670:670))
- (PORT datad (263:263:263) (281:281:281))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (795:795:795) (721:721:721))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (745:745:745) (662:662:662))
- (PORT datad (757:757:757) (701:701:701))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (PORT datab (842:842:842) (796:796:796))
- (PORT datac (535:535:535) (512:512:512))
- (PORT datad (454:454:454) (434:434:434))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (489:489:489) (480:480:480))
- (PORT datab (543:543:543) (503:503:503))
- (PORT datac (238:238:238) (264:264:264))
- (PORT datad (805:805:805) (758:758:758))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (877:877:877) (824:824:824))
- (PORT datab (866:866:866) (826:826:826))
- (PORT datac (237:237:237) (264:264:264))
- (PORT datad (470:470:470) (442:442:442))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (851:851:851) (762:762:762))
- (PORT datab (276:276:276) (300:300:300))
- (PORT datac (535:535:535) (511:511:511))
- (PORT datad (803:803:803) (756:756:756))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (760:760:760) (691:691:691))
- (PORT datab (530:530:530) (493:493:493))
- (PORT datac (432:432:432) (415:415:415))
- (PORT datad (516:516:516) (508:508:508))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (492:492:492) (484:484:484))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datac (535:535:535) (511:511:511))
- (PORT datad (803:803:803) (755:755:755))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (313:313:313))
- (PORT datab (755:755:755) (701:701:701))
- (PORT datac (238:238:238) (264:264:264))
- (PORT datad (800:800:800) (752:752:752))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (558:558:558) (561:561:561))
- (PORT datac (843:843:843) (804:804:804))
- (PORT datad (323:323:323) (393:393:393))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (627:627:627) (653:653:653))
- (PORT datad (246:246:246) (271:271:271))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (1351:1351:1351) (1290:1290:1290))
- (PORT datad (1269:1269:1269) (1219:1219:1219))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (804:804:804) (800:800:800))
- (PORT datab (955:955:955) (950:950:950))
- (PORT datac (833:833:833) (778:778:778))
- (PORT datad (823:823:823) (778:778:778))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datab combout (393:393:393) (412:412:412))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (338:338:338) (422:422:422))
- (PORT datab (338:338:338) (415:415:415))
- (PORT datac (1078:1078:1078) (1104:1104:1104))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (912:912:912) (859:859:859))
- (PORT datab (278:278:278) (303:303:303))
- (PORT datac (491:491:491) (465:465:465))
- (PORT datad (1139:1139:1139) (1035:1035:1035))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (747:747:747) (682:682:682))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (236:236:236) (263:263:263))
- (PORT datad (1138:1138:1138) (1034:1034:1034))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (407:407:407) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (307:307:307) (339:339:339))
- (PORT datab (740:740:740) (675:675:675))
- (PORT datac (1032:1032:1032) (927:927:927))
- (PORT datad (837:837:837) (830:830:830))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1075:1075:1075) (974:974:974))
- (PORT datab (476:476:476) (461:461:461))
- (PORT datac (235:235:235) (261:261:261))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1089:1089:1089) (992:992:992))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datac (1498:1498:1498) (1433:1433:1433))
- (PORT datad (535:535:535) (510:510:510))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (761:761:761) (694:694:694))
- (PORT datab (274:274:274) (299:299:299))
- (PORT datac (1046:1046:1046) (946:946:946))
- (PORT datad (855:855:855) (828:828:828))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (313:313:313))
- (PORT datab (544:544:544) (508:508:508))
- (PORT datac (852:852:852) (812:812:812))
- (PORT datad (1139:1139:1139) (1035:1035:1035))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (311:311:311))
- (PORT datab (275:275:275) (299:299:299))
- (PORT datac (446:446:446) (428:428:428))
- (PORT datad (1139:1139:1139) (1035:1035:1035))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (884:884:884) (819:819:819))
- (PORT datac (527:527:527) (559:559:559))
- (PORT datad (831:831:831) (826:826:826))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (495:495:495) (474:474:474))
- (PORT datad (839:839:839) (832:832:832))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT asdata (812:812:812) (920:920:920))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (382:382:382) (479:479:479))
- (PORT datab (820:820:820) (746:746:746))
- (PORT datad (782:782:782) (722:722:722))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (313:313:313))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datac (825:825:825) (781:781:781))
- (PORT datad (771:771:771) (703:703:703))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (764:764:764) (699:699:699))
- (PORT datab (858:858:858) (804:804:804))
- (PORT datac (812:812:812) (756:756:756))
- (PORT datad (239:239:239) (258:258:258))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (455:455:455) (424:424:424))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (828:828:828) (753:753:753))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (236:236:236) (262:262:262))
- (PORT datad (800:800:800) (757:757:757))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (407:407:407) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (889:889:889) (829:829:829))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datac (776:776:776) (757:757:757))
- (PORT datad (775:775:775) (731:731:731))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (PORT datab (875:875:875) (847:847:847))
- (PORT datac (444:444:444) (416:416:416))
- (PORT datad (774:774:774) (731:731:731))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (310:310:310))
- (PORT datab (749:749:749) (675:675:675))
- (PORT datac (817:817:817) (761:761:761))
- (PORT datad (800:800:800) (758:758:758))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (490:490:490) (467:467:467))
- (PORT datab (541:541:541) (500:500:500))
- (PORT datac (236:236:236) (262:262:262))
- (PORT datad (800:800:800) (758:758:758))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (890:890:890) (831:831:831))
- (PORT datab (605:605:605) (619:619:619))
- (PORT datac (237:237:237) (263:263:263))
- (PORT datad (775:775:775) (732:732:732))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (380:380:380) (471:471:471))
- (PORT datac (816:816:816) (798:798:798))
- (PORT datad (832:832:832) (765:765:765))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (842:842:842) (749:749:749))
- (PORT datad (546:546:546) (575:575:575))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (989:989:989) (988:988:988))
- (PORT datac (1022:1022:1022) (1037:1037:1037))
- (PORT datad (958:958:958) (970:970:970))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (383:383:383) (477:477:477))
- (PORT datab (333:333:333) (409:409:409))
- (PORT datac (772:772:772) (715:715:715))
- (PORT datad (817:817:817) (739:739:739))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (1255:1255:1255) (1209:1209:1209))
- (PORT datac (944:944:944) (966:966:966))
- (PORT datad (755:755:755) (685:685:685))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (868:868:868) (851:851:851))
- (PORT datab (366:366:366) (449:449:449))
- (PORT datac (326:326:326) (411:411:411))
- (PORT datad (328:328:328) (401:401:401))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1839:1839:1839) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1883:1883:1883) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (765:765:765) (716:716:716))
- (PORT datab (889:889:889) (877:877:877))
- (PORT datac (753:753:753) (687:687:687))
- (PORT datad (245:245:245) (267:267:267))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (1168:1168:1168) (1142:1142:1142))
- (PORT datad (1267:1267:1267) (1216:1216:1216))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (809:809:809) (806:806:806))
- (PORT datab (956:956:956) (950:950:950))
- (PORT datac (833:833:833) (778:778:778))
- (PORT datad (823:823:823) (778:778:778))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datab combout (393:393:393) (412:412:412))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (537:537:537) (565:565:565))
- (PORT datac (1078:1078:1078) (1103:1103:1103))
- (PORT datad (296:296:296) (366:366:366))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (342:342:342) (426:426:426))
- (PORT datab (1095:1095:1095) (1095:1095:1095))
- (PORT datac (295:295:295) (373:373:373))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT asdata (804:804:804) (886:886:886))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (379:379:379) (476:476:476))
- (PORT datab (825:825:825) (751:751:751))
- (PORT datad (775:775:775) (715:715:715))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (1020:1020:1020) (1034:1034:1034))
- (PORT datad (928:928:928) (938:938:938))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (322:322:322) (366:366:366))
- (PORT datab (336:336:336) (412:412:412))
- (PORT datac (569:569:569) (609:609:609))
- (PORT datad (281:281:281) (305:305:305))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_x\[10\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (854:854:854) (811:811:811))
- (PORT datad (522:522:522) (522:522:522))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|always0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (932:932:932) (901:901:901))
- (PORT datac (1188:1188:1188) (1099:1099:1099))
- (PORT datad (929:929:929) (884:884:884))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|always0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (278:278:278) (310:310:310))
- (PORT datab (937:937:937) (879:879:879))
- (PORT datac (1512:1512:1512) (1404:1404:1404))
- (PORT datad (272:272:272) (294:294:294))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[9\]\~14)
- (DELAY
- (ABSOLUTE
- (PORT datac (1188:1188:1188) (1100:1100:1100))
- (PORT datad (889:889:889) (849:849:849))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (296:296:296) (341:341:341))
- (PORT datab (292:292:292) (326:326:326))
- (PORT datac (858:858:858) (809:809:809))
- (PORT datad (856:856:856) (807:807:807))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (937:937:937) (896:896:896))
- (PORT datac (854:854:854) (819:819:819))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[10\]\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (311:311:311))
- (PORT datab (1456:1456:1456) (1327:1327:1327))
- (PORT datad (565:565:565) (552:552:552))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[4\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (1351:1351:1351) (1290:1290:1290))
- (PORT datac (1168:1168:1168) (1143:1143:1143))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (878:878:878) (825:825:825))
- (PORT datab (955:955:955) (949:949:949))
- (PORT datad (823:823:823) (779:779:779))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (423:423:423))
- (PORT datac (1078:1078:1078) (1103:1103:1103))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan10\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (903:903:903) (865:865:865))
- (PORT datab (867:867:867) (809:809:809))
- (PORT datac (518:518:518) (527:527:527))
- (PORT datad (531:531:531) (521:521:521))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~22)
- (DELAY
- (ABSOLUTE
- (PORT datac (855:855:855) (812:812:812))
- (PORT datad (484:484:484) (461:461:461))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~23)
- (DELAY
- (ABSOLUTE
- (PORT dataa (573:573:573) (572:572:572))
- (PORT datab (278:278:278) (304:304:304))
- (PORT datac (519:519:519) (529:529:529))
- (PORT datad (529:529:529) (511:511:511))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (407:407:407) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan14\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (602:602:602) (571:571:571))
- (PORT datad (484:484:484) (460:460:460))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~24)
- (DELAY
- (ABSOLUTE
- (PORT dataa (900:900:900) (862:862:862))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datac (518:518:518) (527:527:527))
- (PORT datad (531:531:531) (522:522:522))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~25)
- (DELAY
- (ABSOLUTE
- (PORT dataa (288:288:288) (327:327:327))
- (PORT datab (823:823:823) (731:731:731))
- (PORT datac (237:237:237) (264:264:264))
- (PORT datad (244:244:244) (266:266:266))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[5\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (382:382:382) (470:470:470))
- (PORT datac (356:356:356) (475:475:475))
- (PORT datad (580:580:580) (608:608:608))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (907:907:907) (862:862:862))
- (PORT datab (806:806:806) (759:759:759))
- (PORT datac (987:987:987) (856:856:856))
- (PORT datad (818:818:818) (801:801:801))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (338:338:338) (416:416:416))
- (PORT datac (1040:1040:1040) (1056:1056:1056))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[4\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (961:961:961) (944:944:944))
- (PORT datad (921:921:921) (915:915:915))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (340:340:340) (424:424:424))
- (PORT datab (1046:1046:1046) (1067:1067:1067))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1154:1154:1154) (1104:1104:1104))
- (PORT datab (898:898:898) (863:863:863))
- (PORT datac (1230:1230:1230) (1212:1212:1212))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[7\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (396:396:396) (497:497:497))
- (PORT datac (348:348:348) (466:466:466))
- (PORT datad (586:586:586) (614:614:614))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (638:638:638) (669:669:669))
- (PORT datab (370:370:370) (453:453:453))
- (PORT datac (1254:1254:1254) (1224:1224:1224))
- (PORT datad (264:264:264) (281:281:281))
- (IOPATH dataa combout (461:461:461) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1004:1004:1004) (1011:1011:1011))
- (PORT datab (1256:1256:1256) (1209:1209:1209))
- (PORT datac (571:571:571) (611:611:611))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~37)
- (DELAY
- (ABSOLUTE
- (PORT dataa (897:897:897) (840:840:840))
- (PORT datab (567:567:567) (572:572:572))
- (PORT datac (860:860:860) (818:818:818))
- (PORT datad (245:245:245) (267:267:267))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (2339:2339:2339) (2308:2308:2308))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (446:446:446) (409:409:409))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1121:1121:1121) (1033:1033:1033))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT dataa (874:874:874) (818:818:818))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (469:469:469) (437:437:437))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datab (1177:1177:1177) (1055:1055:1055))
- (IOPATH datab combout (472:472:472) (473:473:473))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (238:238:238) (256:256:256))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_clk_p\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2838:2838:2838) (2775:2775:2775))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_clk_n\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2838:2838:2838) (2775:2775:2775))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_p\[0\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2848:2848:2848) (2785:2785:2785))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_p\[1\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2848:2848:2848) (2785:2785:2785))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_p\[2\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2848:2848:2848) (2785:2785:2785))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_n\[0\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2838:2838:2838) (2775:2775:2775))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_n\[1\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2858:2858:2858) (2795:2795:2795))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_n\[2\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2848:2848:2848) (2785:2785:2785))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (450:450:450) (567:567:567))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~1)
- (DELAY
- (ABSOLUTE
- (PORT datad (304:304:304) (378:378:378))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT sclr (1069:1069:1069) (1226:1226:1226))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (337:337:337) (414:414:414))
- (PORT datad (305:305:305) (378:378:378))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT sclr (1069:1069:1069) (1226:1226:1226))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (423:423:423))
- (PORT datad (407:407:407) (519:519:519))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (405:405:405) (517:517:517))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (452:452:452) (569:569:569))
- (PORT datac (298:298:298) (377:377:377))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datac (302:302:302) (385:385:385))
- (PORT datad (408:408:408) (520:520:520))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (339:339:339) (417:417:417))
- (PORT datad (406:406:406) (517:517:517))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (336:336:336) (413:413:413))
- (PORT datad (406:406:406) (518:518:518))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_clk\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (806:806:806) (852:852:852))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[1\]\~clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (2339:2339:2339) (2308:2308:2308))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1793:1793:1793) (1777:1777:1777))
- (PORT D (1304:1304:1304) (1328:1328:1328))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1793:1793:1793) (1777:1777:1777))
- (PORT d (1340:1340:1340) (1366:1366:1366))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1966:1966:1966) (1972:1972:1972))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1796:1796:1796) (1779:1779:1779))
- (PORT D (902:902:902) (941:941:941))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1796:1796:1796) (1779:1779:1779))
- (PORT d (989:989:989) (1033:1033:1033))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1969:1969:1969) (1974:1974:1974))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (367:367:367) (448:448:448))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_rst_n\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (766:766:766) (812:812:812))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
- (DELAY
- (ABSOLUTE
- (PORT clk (1456:1456:1456) (1495:1495:1495))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (5321:5321:5321) (5126:5126:5126))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE rst_n\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (4410:4410:4410) (4581:4581:4581))
- (PORT datab (334:334:334) (410:410:410))
- (PORT datad (735:735:735) (769:769:769))
- (IOPATH dataa combout (421:421:421) (428:428:428))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE rst_n\~0clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (1831:1831:1831) (1724:1724:1724))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (370:370:370) (456:456:456))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (367:367:367) (450:450:450))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (359:359:359) (436:436:436))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (360:360:360) (436:436:436))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (394:394:394) (484:484:484))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (369:369:369) (459:459:459))
- (PORT datab (367:367:367) (449:449:449))
- (PORT datac (325:325:325) (410:410:410))
- (PORT datad (327:327:327) (404:404:404))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (386:386:386) (464:464:464))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~18)
- (DELAY
- (ABSOLUTE
- (PORT datab (396:396:396) (476:476:476))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~20)
- (DELAY
- (ABSOLUTE
- (PORT datab (391:391:391) (473:473:473))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~22)
- (DELAY
- (ABSOLUTE
- (PORT dataa (395:395:395) (484:484:484))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[11\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (395:395:395) (485:485:485))
- (PORT datab (393:393:393) (476:476:476))
- (PORT datac (353:353:353) (438:438:438))
- (PORT datad (350:350:350) (427:427:427))
- (IOPATH dataa combout (392:392:392) (407:407:407))
- (IOPATH datab combout (393:393:393) (412:412:412))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1109:1109:1109) (1022:1022:1022))
- (PORT datab (928:928:928) (885:885:885))
- (PORT datac (921:921:921) (868:868:868))
- (PORT datad (1141:1141:1141) (1043:1043:1043))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1851:1851:1851))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (664:664:664) (684:684:684))
- (PORT datab (1270:1270:1270) (1209:1209:1209))
- (PORT datac (583:583:583) (606:606:606))
- (PORT datad (581:581:581) (607:607:607))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (316:316:316) (354:354:354))
- (PORT datab (279:279:279) (305:305:305))
- (PORT datac (272:272:272) (304:304:304))
- (PORT datad (764:764:764) (688:688:688))
- (IOPATH dataa combout (420:420:420) (428:428:428))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (316:316:316) (354:354:354))
- (PORT datab (313:313:313) (342:342:342))
- (PORT datac (239:239:239) (266:266:266))
- (PORT datad (764:764:764) (689:689:689))
- (IOPATH dataa combout (420:420:420) (428:428:428))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan4\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (1268:1268:1268) (1206:1206:1206))
- (PORT datac (582:582:582) (606:606:606))
- (PORT datad (581:581:581) (606:606:606))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (610:610:610) (647:647:647))
- (PORT datab (671:671:671) (687:687:687))
- (PORT datac (623:623:623) (645:645:645))
- (PORT datad (745:745:745) (678:678:678))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (618:618:618) (627:627:627))
- (PORT datab (879:879:879) (839:839:839))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab cout (565:565:565) (421:421:421))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (618:618:618) (627:627:627))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (570:570:570) (596:596:596))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (642:642:642) (653:653:653))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~9)
- (DELAY
- (ABSOLUTE
- (PORT datab (1264:1264:1264) (1202:1202:1202))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~10)
- (DELAY
- (ABSOLUTE
- (PORT datab (640:640:640) (654:654:654))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (583:583:583) (606:606:606))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (659:659:659) (677:677:677))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (650:650:650) (659:659:659))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~18)
- (DELAY
- (ABSOLUTE
- (PORT datab (645:645:645) (650:650:650))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (911:911:911) (876:876:876))
- (PORT datab (569:569:569) (575:575:575))
- (PORT datac (520:520:520) (529:529:529))
- (PORT datad (529:529:529) (520:520:520))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (611:611:611) (648:648:648))
- (PORT datac (625:625:625) (647:647:647))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (970:970:970) (903:903:903))
- (PORT datac (883:883:883) (844:844:844))
- (PORT datad (1143:1143:1143) (1045:1045:1045))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (492:492:492) (473:473:473))
- (PORT datab (379:379:379) (446:446:446))
- (PORT datad (1204:1204:1204) (1128:1128:1128))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (314:314:314))
- (PORT datab (1456:1456:1456) (1328:1328:1328))
- (PORT datad (564:564:564) (551:551:551))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1839:1839:1839) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1883:1883:1883) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (760:760:760) (694:694:694))
- (PORT datab (379:379:379) (445:445:445))
- (PORT datad (1205:1205:1205) (1129:1129:1129))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (367:367:367) (455:455:455))
- (PORT datab (365:365:365) (447:447:447))
- (PORT datac (560:560:560) (575:575:575))
- (PORT datad (327:327:327) (400:400:400))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (763:763:763) (715:715:715))
- (PORT datab (376:376:376) (441:441:441))
- (PORT datad (1210:1210:1210) (1134:1134:1134))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (538:538:538) (497:497:497))
- (PORT datab (375:375:375) (439:439:439))
- (PORT datad (1214:1214:1214) (1138:1138:1138))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (812:812:812) (754:754:754))
- (PORT datab (310:310:310) (339:339:339))
- (PORT datac (329:329:329) (413:413:413))
- (PORT datad (330:330:330) (404:404:404))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (357:357:357) (433:433:433))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (628:628:628) (601:601:601))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datad (1423:1423:1423) (1292:1292:1292))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1839:1839:1839) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1883:1883:1883) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (475:475:475) (462:462:462))
- (PORT datab (375:375:375) (440:440:440))
- (PORT datad (1213:1213:1213) (1137:1137:1137))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (381:381:381) (475:475:475))
- (PORT datab (393:393:393) (476:476:476))
- (PORT datac (568:568:568) (594:594:594))
- (PORT datad (331:331:331) (409:409:409))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (287:287:287) (315:315:315))
- (PORT datac (239:239:239) (265:265:265))
- (PORT datad (1212:1212:1212) (1135:1135:1135))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (817:817:817) (737:737:737))
- (PORT datab (379:379:379) (444:444:444))
- (PORT datad (1206:1206:1206) (1129:1129:1129))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (489:489:489) (466:466:466))
- (PORT datab (380:380:380) (446:446:446))
- (PORT datad (1203:1203:1203) (1127:1127:1127))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (627:627:627) (631:631:631))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (532:532:532) (515:515:515))
- (PORT datab (484:484:484) (453:453:453))
- (PORT datad (1209:1209:1209) (1133:1133:1133))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~22)
- (DELAY
- (ABSOLUTE
- (PORT datad (320:320:320) (390:390:390))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (634:634:634) (609:609:609))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datad (1416:1416:1416) (1285:1285:1285))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[11\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1839:1839:1839) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1883:1883:1883) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (898:898:898) (867:867:867))
- (PORT datab (938:938:938) (897:897:897))
- (PORT datac (601:601:601) (619:619:619))
- (PORT datad (556:556:556) (578:578:578))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (791:791:791) (728:728:728))
- (PORT datac (851:851:851) (827:827:827))
- (PORT datad (247:247:247) (269:269:269))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (588:588:588) (554:554:554))
- (PORT datab (669:669:669) (685:685:685))
- (PORT datac (622:622:622) (644:644:644))
- (PORT datad (743:743:743) (677:677:677))
- (IOPATH dataa combout (393:393:393) (398:398:398))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (767:767:767) (719:719:719))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (261:261:261) (286:286:286))
- (PORT datad (240:240:240) (259:259:259))
- (IOPATH dataa combout (432:432:432) (446:446:446))
- (IOPATH datab combout (437:437:437) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (574:574:574) (573:573:573))
- (PORT datab (772:772:772) (714:714:714))
- (PORT datac (519:519:519) (528:528:528))
- (PORT datad (530:530:530) (512:512:512))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|always0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (566:566:566) (580:580:580))
- (PORT datab (568:568:568) (574:574:574))
- (PORT datac (862:862:862) (821:821:821))
- (PORT datad (246:246:246) (268:268:268))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (495:495:495) (490:490:490))
- (PORT datab (498:498:498) (492:492:492))
- (PORT datac (1541:1541:1541) (1408:1408:1408))
- (PORT datad (888:888:888) (834:834:834))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~17)
- (DELAY
- (ABSOLUTE
- (PORT dataa (575:575:575) (574:574:574))
- (PORT datac (518:518:518) (527:527:527))
- (PORT datad (532:532:532) (514:514:514))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~34)
- (DELAY
- (ABSOLUTE
- (PORT dataa (898:898:898) (841:841:841))
- (PORT datab (568:568:568) (573:573:573))
- (PORT datac (861:861:861) (820:820:820))
- (PORT datad (238:238:238) (257:257:257))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (574:574:574) (573:573:573))
- (PORT datad (531:531:531) (513:513:513))
- (IOPATH dataa combout (421:421:421) (428:428:428))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (905:905:905) (867:867:867))
- (PORT datab (566:566:566) (570:570:570))
- (PORT datac (518:518:518) (527:527:527))
- (PORT datad (237:237:237) (255:255:255))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (943:943:943) (877:877:877))
- (PORT datac (1395:1395:1395) (1222:1222:1222))
- (PORT datad (846:846:846) (790:790:790))
- (IOPATH dataa combout (420:420:420) (428:428:428))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~18)
- (DELAY
- (ABSOLUTE
- (PORT dataa (884:884:884) (843:843:843))
- (PORT datab (284:284:284) (314:314:314))
- (PORT datac (839:839:839) (785:785:785))
- (PORT datad (254:254:254) (287:287:287))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~20)
- (DELAY
- (ABSOLUTE
- (PORT datad (552:552:552) (568:568:568))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (935:935:935) (904:904:904))
- (PORT datab (914:914:914) (863:863:863))
- (PORT datac (1184:1184:1184) (1094:1094:1094))
- (PORT datad (934:934:934) (889:889:889))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (929:929:929) (865:865:865))
- (PORT datab (920:920:920) (871:871:871))
- (PORT datac (1512:1512:1512) (1404:1404:1404))
- (PORT datad (236:236:236) (255:255:255))
- (IOPATH dataa combout (393:393:393) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan14\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (934:934:934) (903:903:903))
- (PORT datac (863:863:863) (825:825:825))
- (PORT datad (930:930:930) (885:885:885))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~19)
- (DELAY
- (ABSOLUTE
- (PORT dataa (884:884:884) (843:843:843))
- (PORT datab (557:557:557) (517:517:517))
- (PORT datac (713:713:713) (629:629:629))
- (PORT datad (886:886:886) (832:832:832))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (455:455:455) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~20)
- (DELAY
- (ABSOLUTE
- (PORT datab (293:293:293) (327:327:327))
- (PORT datac (839:839:839) (786:786:786))
- (PORT datad (246:246:246) (268:268:268))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1161:1161:1161) (1056:1056:1056))
- (PORT datab (875:875:875) (820:820:820))
- (PORT datac (303:303:303) (386:386:386))
- (PORT datad (305:305:305) (379:379:379))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datad (1272:1272:1272) (1221:1221:1221))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT asdata (1683:1683:1683) (1621:1621:1621))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (629:629:629) (641:641:641))
- (PORT datab (371:371:371) (451:451:451))
- (PORT datac (329:329:329) (412:412:412))
- (PORT datad (338:338:338) (422:422:422))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (278:278:278) (310:310:310))
- (PORT datab (312:312:312) (342:342:342))
- (PORT datac (238:238:238) (264:264:264))
- (PORT datad (326:326:326) (399:399:399))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[1\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1263:1263:1263) (1171:1171:1171))
- (PORT datab (1498:1498:1498) (1358:1358:1358))
- (PORT datac (305:305:305) (388:388:388))
- (PORT datad (812:812:812) (770:770:770))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[2\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1264:1264:1264) (1172:1172:1172))
- (PORT datab (873:873:873) (818:818:818))
- (PORT datac (1455:1455:1455) (1319:1319:1319))
- (PORT datad (304:304:304) (378:378:378))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (1349:1349:1349) (1288:1288:1288))
- (PORT datac (1169:1169:1169) (1143:1143:1143))
- (PORT datad (1270:1270:1270) (1219:1219:1219))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datad (239:239:239) (257:257:257))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (574:574:574) (613:613:613))
- (PORT datab (954:954:954) (909:909:909))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (386:386:386) (470:470:470))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (386:386:386) (463:463:463))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (556:556:556) (592:592:592))
- (PORT datab (955:955:955) (950:950:950))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (644:644:644) (650:650:650))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (565:565:565) (579:579:579))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (557:557:557) (559:559:559))
- (PORT datab (502:502:502) (484:484:484))
- (PORT datac (236:236:236) (263:263:263))
- (PORT datad (454:454:454) (434:434:434))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (412:412:412))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (876:876:876) (823:823:823))
- (PORT datab (950:950:950) (944:944:944))
- (PORT datad (822:822:822) (778:778:778))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (544:544:544) (530:530:530))
- (PORT datab (279:279:279) (304:304:304))
- (PORT datac (838:838:838) (805:805:805))
- (PORT datad (822:822:822) (778:778:778))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (824:824:824) (813:813:813))
- (PORT datab (479:479:479) (462:462:462))
- (PORT datac (816:816:816) (749:749:749))
- (PORT datad (486:486:486) (455:455:455))
- (IOPATH dataa combout (420:420:420) (428:428:428))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (1349:1349:1349) (1287:1287:1287))
- (PORT datac (1170:1170:1170) (1145:1145:1145))
- (PORT datad (1274:1274:1274) (1223:1223:1223))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (1350:1350:1350) (1288:1288:1288))
- (PORT datac (1169:1169:1169) (1144:1144:1144))
- (PORT datad (1271:1271:1271) (1221:1221:1221))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (544:544:544) (530:530:530))
- (PORT datab (280:280:280) (305:305:305))
- (PORT datac (882:882:882) (850:850:850))
- (PORT datad (822:822:822) (778:778:778))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (858:858:858) (795:795:795))
- (PORT datab (278:278:278) (304:304:304))
- (PORT datac (793:793:793) (770:770:770))
- (PORT datad (453:453:453) (429:429:429))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT asdata (1588:1588:1588) (1540:1540:1540))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (558:558:558) (560:560:560))
- (PORT datab (530:530:530) (493:493:493))
- (PORT datac (477:477:477) (446:446:446))
- (PORT datad (896:896:896) (862:862:862))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (597:597:597) (654:654:654))
- (PORT datab (654:654:654) (676:676:676))
- (PORT datac (534:534:534) (564:564:564))
- (PORT datad (523:523:523) (548:548:548))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (473:473:473) (489:489:489))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (866:866:866) (839:839:839))
- (PORT datad (477:477:477) (451:451:451))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (537:537:537) (496:496:496))
- (PORT datab (475:475:475) (459:459:459))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab cout (565:565:565) (421:421:421))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (806:806:806) (728:728:728))
- (PORT datab (541:541:541) (504:504:504))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1259:1259:1259) (1166:1166:1166))
- (PORT datac (1452:1452:1452) (1315:1315:1315))
- (PORT datad (812:812:812) (771:771:771))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg1)
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (297:297:297) (368:368:368))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2)
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sclr (1477:1477:1477) (1540:1540:1540))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (287:287:287) (322:322:322))
- (PORT datab (903:903:903) (872:872:872))
- (PORT datac (626:626:626) (652:652:652))
- (PORT datad (479:479:479) (453:453:453))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (PORT datab (955:955:955) (950:950:950))
- (PORT datac (799:799:799) (791:791:791))
- (PORT datad (822:822:822) (778:778:778))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (490:490:490) (472:472:472))
- (PORT datad (238:238:238) (256:256:256))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sclr (1477:1477:1477) (1540:1540:1540))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (597:597:597) (653:653:653))
- (PORT datab (656:656:656) (677:677:677))
- (PORT datac (534:534:534) (564:564:564))
- (PORT datad (523:523:523) (548:548:548))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (538:538:538) (502:502:502))
- (PORT datab (904:904:904) (872:872:872))
- (PORT datac (616:616:616) (639:639:639))
- (PORT datad (470:470:470) (442:442:442))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (804:804:804) (747:747:747))
- (PORT datab (361:361:361) (437:437:437))
- (PORT datad (794:794:794) (719:719:719))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (738:738:738) (668:668:668))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (875:875:875) (870:870:870))
- (PORT datab (648:648:648) (652:652:652))
- (PORT datac (594:594:594) (617:617:617))
- (PORT datad (554:554:554) (569:569:569))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (667:667:667) (686:686:686))
- (PORT datab (645:645:645) (658:658:658))
- (PORT datac (1230:1230:1230) (1173:1173:1173))
- (PORT datad (237:237:237) (255:255:255))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg1)
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2)
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT asdata (760:760:760) (829:829:829))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1694:1694:1694) (1667:1667:1667))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (PORT sload (1861:1861:1861) (1950:1950:1950))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[7\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (1349:1349:1349) (1288:1288:1288))
- (PORT datad (1273:1273:1273) (1222:1222:1222))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (878:878:878) (825:825:825))
- (PORT datab (954:954:954) (948:948:948))
- (PORT datac (811:811:811) (773:773:773))
- (PORT datad (823:823:823) (778:778:778))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (679:679:679) (607:607:607))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1697:1697:1697) (1671:1671:1671))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (PORT sload (1861:1861:1861) (1950:1950:1950))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (382:382:382) (476:476:476))
- (PORT datab (396:396:396) (480:480:480))
- (PORT datac (548:548:548) (569:569:569))
- (PORT datad (246:246:246) (271:271:271))
- (IOPATH dataa combout (392:392:392) (407:407:407))
- (IOPATH datab combout (393:393:393) (412:412:412))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg1)
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (1396:1396:1396) (1298:1298:1298))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2)
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (821:821:821) (749:749:749))
- (PORT datab (1282:1282:1282) (1246:1246:1246))
- (PORT datac (1097:1097:1097) (1062:1062:1062))
- (PORT datad (909:909:909) (898:898:898))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (336:336:336) (412:412:412))
- (PORT datac (1077:1077:1077) (1103:1103:1103))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (346:346:346) (429:429:429))
- (PORT datac (1077:1077:1077) (1103:1103:1103))
- (PORT datad (296:296:296) (366:366:366))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (347:347:347) (431:431:431))
- (PORT datac (1077:1077:1077) (1103:1103:1103))
- (PORT datad (296:296:296) (366:366:366))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (423:423:423))
- (PORT datac (1077:1077:1077) (1103:1103:1103))
- (PORT datad (295:295:295) (365:365:365))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (338:338:338) (415:415:415))
- (PORT datac (1078:1078:1078) (1104:1104:1104))
- (PORT datad (298:298:298) (368:368:368))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1335:1335:1335) (1336:1336:1336))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sload (1624:1624:1624) (1684:1684:1684))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (819:819:819) (747:747:747))
- (PORT datab (1284:1284:1284) (1249:1249:1249))
- (PORT datac (1094:1094:1094) (1058:1058:1058))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (423:423:423))
- (PORT datab (337:337:337) (414:414:414))
- (PORT datac (1078:1078:1078) (1104:1104:1104))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (452:452:452) (569:569:569))
- (PORT datac (911:911:911) (904:904:904))
- (PORT datad (893:893:893) (882:882:882))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1796:1796:1796) (1779:1779:1779))
- (PORT D (1266:1266:1266) (1278:1278:1278))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1796:1796:1796) (1779:1779:1779))
- (PORT d (972:972:972) (1032:1032:1032))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1969:1969:1969) (1974:1974:1974))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1001:1001:1001) (944:944:944))
- (PORT datab (914:914:914) (862:862:862))
- (PORT datac (1186:1186:1186) (1097:1097:1097))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[9\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (313:313:313))
- (PORT datab (935:935:935) (877:877:877))
- (PORT datac (1511:1511:1511) (1403:1403:1403))
- (PORT datad (272:272:272) (293:293:293))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~35)
- (DELAY
- (ABSOLUTE
- (PORT dataa (289:289:289) (328:328:328))
- (PORT datab (568:568:568) (574:574:574))
- (PORT datac (863:863:863) (822:822:822))
- (PORT datad (246:246:246) (268:268:268))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (455:455:455) (412:412:412))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~36)
- (DELAY
- (ABSOLUTE
- (PORT dataa (297:297:297) (342:342:342))
- (PORT datab (921:921:921) (872:872:872))
- (PORT datac (1184:1184:1184) (1094:1094:1094))
- (PORT datad (251:251:251) (282:282:282))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~21)
- (DELAY
- (ABSOLUTE
- (PORT dataa (494:494:494) (489:489:489))
- (PORT datab (497:497:497) (491:491:491))
- (PORT datac (1540:1540:1540) (1407:1407:1407))
- (PORT datad (887:887:887) (833:833:833))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~26)
- (DELAY
- (ABSOLUTE
- (PORT dataa (889:889:889) (853:853:853))
- (PORT datab (842:842:842) (807:807:807))
- (PORT datac (242:242:242) (273:273:273))
- (PORT datad (460:460:460) (440:440:440))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_x\[11\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (1185:1185:1185) (1067:1067:1067))
- (PORT datad (858:858:858) (810:810:810))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~27)
- (DELAY
- (ABSOLUTE
- (PORT dataa (295:295:295) (339:339:339))
- (PORT datab (294:294:294) (328:328:328))
- (PORT datac (237:237:237) (263:263:263))
- (PORT datad (844:844:844) (792:792:792))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[10\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (828:828:828) (766:766:766))
- (PORT datab (1440:1440:1440) (1301:1301:1301))
- (PORT datac (562:562:562) (583:583:583))
- (PORT datad (1670:1670:1670) (1467:1467:1467))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~29)
- (DELAY
- (ABSOLUTE
- (PORT dataa (932:932:932) (901:901:901))
- (PORT datab (913:913:913) (862:862:862))
- (PORT datac (1190:1190:1190) (1102:1102:1102))
- (PORT datad (928:928:928) (882:882:882))
- (IOPATH dataa combout (453:453:453) (428:428:428))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~30)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1231:1231:1231) (1143:1143:1143))
- (PORT datab (920:920:920) (872:872:872))
- (PORT datac (1512:1512:1512) (1404:1404:1404))
- (PORT datad (876:876:876) (829:829:829))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~31)
- (DELAY
- (ABSOLUTE
- (PORT datab (275:275:275) (300:300:300))
- (PORT datac (238:238:238) (264:264:264))
- (PORT datad (265:265:265) (283:283:283))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[6\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (830:830:830) (768:768:768))
- (PORT datab (1423:1423:1423) (1274:1274:1274))
- (PORT datac (1397:1397:1397) (1261:1261:1261))
- (PORT datad (552:552:552) (571:571:571))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add5\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (567:567:567) (605:605:605))
- (PORT datab (745:745:745) (690:690:690))
- (PORT datac (564:564:564) (584:584:584))
- (PORT datad (556:556:556) (575:575:575))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (592:592:592) (637:637:637))
- (PORT datab (397:397:397) (498:498:498))
- (PORT datac (354:354:354) (473:473:473))
- (PORT datad (582:582:582) (609:609:609))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~28)
- (DELAY
- (ABSOLUTE
- (PORT dataa (885:885:885) (849:849:849))
- (PORT datab (840:840:840) (805:805:805))
- (PORT datac (247:247:247) (278:278:278))
- (PORT datad (458:458:458) (438:438:438))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (829:829:829) (767:767:767))
- (PORT datab (1423:1423:1423) (1274:1274:1274))
- (PORT datac (1397:1397:1397) (1262:1262:1262))
- (PORT datad (521:521:521) (547:547:547))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (383:383:383) (477:477:477))
- (PORT datab (395:395:395) (510:510:510))
- (PORT datac (338:338:338) (428:428:428))
- (PORT datad (546:546:546) (561:561:561))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (397:397:397) (498:498:498))
- (PORT datac (355:355:355) (474:474:474))
- (PORT datad (581:581:581) (608:608:608))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1583:1583:1583) (1534:1534:1534))
- (PORT datab (949:949:949) (926:926:926))
- (PORT datac (807:807:807) (803:803:803))
- (PORT datad (852:852:852) (825:825:825))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1585:1585:1585) (1537:1537:1537))
- (PORT datab (948:948:948) (925:925:925))
- (PORT datac (808:808:808) (805:805:805))
- (PORT datad (851:851:851) (823:823:823))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (589:589:589) (634:634:634))
- (PORT datab (395:395:395) (509:509:509))
- (PORT datac (354:354:354) (458:458:458))
- (PORT datad (585:585:585) (613:613:613))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1838:1838:1838) (1850:1850:1850))
- (PORT asdata (2131:2131:2131) (2021:2021:2021))
- (PORT clrn (1882:1882:1882) (1854:1854:1854))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (898:898:898) (881:881:881))
- (PORT datab (566:566:566) (593:593:593))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1536:1536:1536) (1494:1494:1494))
- (PORT datab (910:910:910) (899:899:899))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (591:591:591) (636:636:636))
- (PORT datab (397:397:397) (512:512:512))
- (PORT datac (355:355:355) (459:459:459))
- (PORT datad (583:583:583) (611:611:611))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1116:1116:1116) (1072:1072:1072))
- (PORT datab (1849:1849:1849) (1763:1763:1763))
- (IOPATH dataa combout (461:461:461) (486:486:486))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (455:455:455) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (907:907:907) (854:854:854))
- (PORT datab (276:276:276) (300:300:300))
- (PORT datac (449:449:449) (436:436:436))
- (PORT datad (1137:1137:1137) (1033:1033:1033))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (PORT datab (543:543:543) (502:502:502))
- (PORT datac (239:239:239) (266:266:266))
- (PORT datad (1140:1140:1140) (1036:1036:1036))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (337:337:337) (427:427:427))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (310:310:310))
- (PORT datab (278:278:278) (303:303:303))
- (PORT datac (822:822:822) (765:765:765))
- (PORT datad (912:912:912) (881:881:881))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (824:824:824) (745:745:745))
- (PORT datab (1547:1547:1547) (1392:1392:1392))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1851:1851:1851))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (PORT sclr (1457:1457:1457) (1514:1514:1514))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (361:361:361) (444:444:444))
- (PORT datab (848:848:848) (817:817:817))
- (PORT datac (318:318:318) (396:396:396))
- (PORT datad (321:321:321) (391:391:391))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (289:289:289) (319:319:319))
- (PORT datad (329:329:329) (406:406:406))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (PORT datab (278:278:278) (303:303:303))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab cout (565:565:565) (421:421:421))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (820:820:820) (745:745:745))
- (PORT datab (472:472:472) (455:455:455))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (534:534:534) (493:493:493))
- (PORT datab (751:751:751) (678:678:678))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1851:1851:1851))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (PORT sclr (1457:1457:1457) (1514:1514:1514))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (909:909:909) (855:855:855))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (235:235:235) (261:261:261))
- (PORT datad (1138:1138:1138) (1034:1034:1034))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (PORT datab (277:277:277) (301:301:301))
- (PORT datac (711:711:711) (641:641:641))
- (PORT datad (826:826:826) (772:772:772))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (278:278:278) (310:310:310))
- (PORT datab (803:803:803) (726:726:726))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1851:1851:1851))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (PORT sclr (1457:1457:1457) (1514:1514:1514))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1581:1581:1581) (1533:1533:1533))
- (PORT datab (949:949:949) (926:926:926))
- (PORT datac (806:806:806) (803:803:803))
- (PORT datad (853:853:853) (826:826:826))
- (IOPATH dataa combout (461:461:461) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (908:908:908) (889:889:889))
- (PORT datab (368:368:368) (448:448:448))
- (PORT datac (793:793:793) (709:709:709))
- (PORT datad (248:248:248) (275:275:275))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (972:972:972) (869:869:869))
- (PORT datab (809:809:809) (762:762:762))
- (PORT datad (819:819:819) (803:803:803))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (282:282:282) (314:314:314))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (1044:1044:1044) (943:943:943))
- (PORT datad (530:530:530) (505:505:505))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (867:867:867) (798:798:798))
- (PORT datab (950:950:950) (927:927:927))
- (PORT datac (810:810:810) (807:807:807))
- (PORT datad (236:236:236) (254:254:254))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1851:1851:1851))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (PORT sclr (1457:1457:1457) (1514:1514:1514))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (591:591:591) (618:618:618))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (742:742:742) (679:679:679))
- (PORT datab (792:792:792) (710:710:710))
- (PORT datac (770:770:770) (709:709:709))
- (PORT datad (781:781:781) (721:721:721))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (803:803:803) (737:737:737))
- (PORT datad (452:452:452) (430:430:430))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1851:1851:1851))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (PORT sclr (1457:1457:1457) (1514:1514:1514))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (933:933:933) (896:896:896))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (237:237:237) (264:264:264))
- (PORT datad (590:590:590) (617:617:617))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT asdata (803:803:803) (884:884:884))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (380:380:380) (477:477:477))
- (PORT datab (824:824:824) (751:751:751))
- (PORT datad (776:776:776) (716:716:716))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (780:780:780) (695:695:695))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1719:1719:1719) (1680:1680:1680))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (PORT sload (1425:1425:1425) (1434:1434:1434))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (798:798:798) (777:777:777))
- (PORT datab (808:808:808) (760:760:760))
- (PORT datac (986:986:986) (855:855:855))
- (PORT datad (820:820:820) (803:803:803))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (238:238:238) (256:256:256))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1722:1722:1722) (1684:1684:1684))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (PORT sload (1425:1425:1425) (1434:1434:1434))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (422:422:422))
- (PORT datab (336:336:336) (413:413:413))
- (PORT datac (1040:1040:1040) (1056:1056:1056))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (342:342:342) (425:425:425))
- (PORT datac (1038:1038:1038) (1054:1054:1054))
- (PORT datad (298:298:298) (368:368:368))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (347:347:347) (430:430:430))
- (PORT datac (1040:1040:1040) (1056:1056:1056))
- (PORT datad (298:298:298) (368:368:368))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (987:987:987) (991:991:991))
- (PORT datac (1441:1441:1441) (1373:1373:1373))
- (PORT datad (1255:1255:1255) (1202:1202:1202))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1891:1891:1891) (1861:1861:1861))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (336:336:336) (412:412:412))
- (PORT datad (1042:1042:1042) (1073:1073:1073))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (348:348:348) (439:439:439))
- (PORT datab (1086:1086:1086) (1121:1121:1121))
- (PORT datac (295:295:295) (373:373:373))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (340:340:340) (424:424:424))
- (PORT datab (1086:1086:1086) (1121:1121:1121))
- (PORT datac (296:296:296) (374:374:374))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (347:347:347) (438:438:438))
- (PORT datab (1087:1087:1087) (1122:1122:1122))
- (PORT datad (296:296:296) (366:366:366))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (996:996:996) (972:972:972))
- (PORT datab (1087:1087:1087) (1122:1122:1122))
- (PORT datac (296:296:296) (374:374:374))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1798:1798:1798) (1784:1784:1784))
- (PORT D (882:882:882) (936:936:936))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1798:1798:1798) (1784:1784:1784))
- (PORT d (1325:1325:1325) (1362:1362:1362))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1971:1971:1971) (1979:1979:1979))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~33)
- (DELAY
- (ABSOLUTE
- (PORT dataa (870:870:870) (831:831:831))
- (PORT datab (293:293:293) (328:328:328))
- (PORT datad (246:246:246) (268:268:268))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[13\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[13\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1262:1262:1262) (1169:1169:1169))
- (PORT datab (1497:1497:1497) (1356:1356:1356))
- (PORT datac (305:305:305) (388:388:388))
- (PORT datad (812:812:812) (771:771:771))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~32)
- (DELAY
- (ABSOLUTE
- (PORT dataa (869:869:869) (830:830:830))
- (PORT datab (283:283:283) (314:314:314))
- (PORT datac (857:857:857) (804:804:804))
- (PORT datad (843:843:843) (792:792:792))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[15\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1161:1161:1161) (1056:1056:1056))
- (PORT datab (873:873:873) (818:818:818))
- (PORT datac (305:305:305) (389:389:389))
- (PORT datad (532:532:532) (555:555:555))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[12\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1261:1261:1261) (1168:1168:1168))
- (PORT datab (1497:1497:1497) (1356:1356:1356))
- (PORT datac (323:323:323) (402:402:402))
- (PORT datad (812:812:812) (771:771:771))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (993:993:993) (992:992:992))
- (PORT datac (1027:1027:1027) (1043:1043:1043))
- (PORT datad (960:960:960) (972:972:972))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (993:993:993) (993:993:993))
- (PORT datac (1028:1028:1028) (1044:1044:1044))
- (PORT datad (960:960:960) (972:972:972))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (1021:1021:1021) (1035:1035:1035))
- (PORT datad (957:957:957) (969:969:969))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (902:902:902) (912:912:912))
- (PORT datab (907:907:907) (896:896:896))
- (PORT datac (894:894:894) (881:881:881))
- (PORT datad (824:824:824) (807:807:807))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (1027:1027:1027) (1042:1042:1042))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (903:903:903) (913:913:913))
- (PORT datab (913:913:913) (903:903:903))
- (PORT datac (902:902:902) (890:890:890))
- (PORT datad (821:821:821) (804:804:804))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (945:945:945) (902:902:902))
- (PORT datab (862:862:862) (850:850:850))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (278:278:278) (310:310:310))
- (PORT datab (279:279:279) (305:305:305))
- (PORT datac (823:823:823) (779:779:779))
- (PORT datad (770:770:770) (701:701:701))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (407:407:407) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (883:883:883) (865:865:865))
- (PORT datab (868:868:868) (856:856:856))
- (PORT datac (492:492:492) (462:462:462))
- (PORT datad (835:835:835) (769:769:769))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (394:394:394) (480:480:480))
- (PORT datab (374:374:374) (463:463:463))
- (PORT datac (325:325:325) (410:410:410))
- (PORT datad (327:327:327) (404:404:404))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (854:854:854) (825:825:825))
- (PORT datad (726:726:726) (656:656:656))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1087:1087:1087) (966:966:966))
- (PORT datab (1047:1047:1047) (920:920:920))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab cout (565:565:565) (421:421:421))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (314:314:314))
- (PORT datab (277:277:277) (302:302:302))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1892:1892:1892) (1863:1863:1863))
- (PORT sclr (1798:1798:1798) (1895:1895:1895))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (858:858:858) (844:844:844))
- (PORT datab (376:376:376) (466:466:466))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (848:848:848) (751:751:751))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (828:828:828) (818:818:818))
- (PORT datad (834:834:834) (767:767:767))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (407:407:407) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (478:478:478) (468:468:468))
- (PORT datab (541:541:541) (503:503:503))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (752:752:752) (691:691:691))
- (PORT datab (276:276:276) (301:301:301))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (492:492:492) (475:475:475))
- (PORT datab (276:276:276) (301:301:301))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1892:1892:1892) (1863:1863:1863))
- (PORT sclr (1798:1798:1798) (1895:1895:1895))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datad (237:237:237) (255:255:255))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (797:797:797) (717:717:717))
- (PORT datab (837:837:837) (744:744:744))
- (PORT datac (851:851:851) (822:822:822))
- (PORT datad (551:551:551) (581:581:581))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (322:322:322) (366:366:366))
- (PORT datab (608:608:608) (644:644:644))
- (PORT datad (281:281:281) (305:305:305))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (311:311:311))
- (PORT datab (818:818:818) (775:775:775))
- (PORT datac (821:821:821) (796:796:796))
- (PORT datad (443:443:443) (415:415:415))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1892:1892:1892) (1863:1863:1863))
- (PORT sclr (1798:1798:1798) (1895:1895:1895))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (862:862:862) (850:850:850))
- (PORT datab (949:949:949) (920:920:920))
- (IOPATH dataa combout (461:461:461) (486:486:486))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (455:455:455) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (860:860:860) (848:848:848))
- (PORT datab (953:953:953) (926:926:926))
- (IOPATH dataa combout (453:453:453) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (473:473:473) (489:489:489))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (878:878:878) (810:810:810))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datac (238:238:238) (264:264:264))
- (PORT datad (801:801:801) (758:758:758))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (848:848:848) (759:759:759))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (690:690:690) (636:636:636))
- (PORT datad (835:835:835) (768:768:768))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1892:1892:1892) (1863:1863:1863))
- (PORT sclr (1798:1798:1798) (1895:1895:1895))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT asdata (1652:1652:1652) (1603:1603:1603))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~8)
- (DELAY
- (ABSOLUTE
- (PORT datad (861:861:861) (829:829:829))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (893:893:893) (834:834:834))
- (PORT datab (485:485:485) (466:466:466))
- (PORT datac (746:746:746) (671:671:671))
- (PORT datad (777:777:777) (734:734:734))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (810:810:810) (728:728:728))
- (PORT datab (275:275:275) (299:299:299))
- (PORT datac (710:710:710) (638:638:638))
- (PORT datad (777:777:777) (734:734:734))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (488:488:488) (476:476:476))
- (PORT datad (450:450:450) (428:428:428))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1892:1892:1892) (1863:1863:1863))
- (PORT sclr (1798:1798:1798) (1895:1895:1895))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (796:796:796) (720:720:720))
- (PORT datab (783:783:783) (705:705:705))
- (PORT datac (859:859:859) (818:818:818))
- (PORT datad (551:551:551) (580:580:580))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT asdata (1488:1488:1488) (1493:1493:1493))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (817:817:817) (763:763:763))
- (PORT datab (877:877:877) (788:788:788))
- (PORT datad (339:339:339) (424:424:424))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (462:462:462))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (855:855:855) (792:792:792))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1909:1909:1909) (1833:1833:1833))
- (PORT clrn (1891:1891:1891) (1861:1861:1861))
- (PORT sload (1745:1745:1745) (1782:1782:1782))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[7\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (1019:1019:1019) (1033:1033:1033))
- (PORT datad (956:956:956) (968:968:968))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (384:384:384) (479:479:479))
- (PORT datab (333:333:333) (409:409:409))
- (PORT datac (772:772:772) (715:715:715))
- (PORT datad (817:817:817) (739:739:739))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (765:765:765) (677:677:677))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1909:1909:1909) (1832:1832:1832))
- (PORT clrn (1891:1891:1891) (1861:1861:1861))
- (PORT sload (1745:1745:1745) (1782:1782:1782))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (641:641:641) (673:673:673))
- (PORT datab (372:372:372) (455:455:455))
- (PORT datac (1255:1255:1255) (1225:1225:1225))
- (PORT datad (859:859:859) (813:813:813))
- (IOPATH dataa combout (461:461:461) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (337:337:337) (414:414:414))
- (PORT datac (1039:1039:1039) (1055:1055:1055))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (346:346:346) (430:430:430))
- (PORT datac (937:937:937) (927:927:927))
- (PORT datad (1043:1043:1043) (1074:1074:1074))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (346:346:346) (430:430:430))
- (PORT datac (295:295:295) (373:373:373))
- (PORT datad (1043:1043:1043) (1075:1075:1075))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (340:340:340) (424:424:424))
- (PORT datab (1086:1086:1086) (1121:1121:1121))
- (PORT datac (298:298:298) (376:376:376))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (338:338:338) (415:415:415))
- (PORT datac (940:940:940) (953:953:953))
- (PORT datad (296:296:296) (366:366:366))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1999:1999:1999) (1897:1897:1897))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (PORT sload (1763:1763:1763) (1796:1796:1796))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (322:322:322) (366:366:366))
- (PORT datab (613:613:613) (648:648:648))
- (PORT datad (281:281:281) (305:305:305))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2\~_wirecell)
- (DELAY
- (ABSOLUTE
- (IOPATH datac combout (462:462:462) (482:482:482))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1672:1672:1672) (1549:1549:1549))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (PORT sload (1763:1763:1763) (1796:1796:1796))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (423:423:423))
- (PORT datab (338:338:338) (415:415:415))
- (PORT datad (1006:1006:1006) (1025:1025:1025))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (340:340:340) (425:425:425))
- (PORT datab (1044:1044:1044) (1065:1065:1065))
- (PORT datad (298:298:298) (368:368:368))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (343:343:343) (428:428:428))
- (PORT datab (1046:1046:1046) (1068:1068:1068))
- (PORT datad (299:299:299) (369:369:369))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (337:337:337) (414:414:414))
- (PORT datac (979:979:979) (987:987:987))
- (PORT datad (296:296:296) (366:366:366))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1800:1800:1800) (1786:1786:1786))
- (PORT D (884:884:884) (939:939:939))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1800:1800:1800) (1786:1786:1786))
- (PORT d (1354:1354:1354) (1392:1392:1392))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1973:1973:1973) (1981:1981:1981))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1796:1796:1796) (1779:1779:1779))
- (PORT D (1231:1231:1231) (1313:1313:1313))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1796:1796:1796) (1779:1779:1779))
- (PORT d (974:974:974) (1030:1030:1030))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1969:1969:1969) (1974:1974:1974))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1798:1798:1798) (1784:1784:1784))
- (PORT D (889:889:889) (929:929:929))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1798:1798:1798) (1784:1784:1784))
- (PORT d (1304:1304:1304) (1383:1383:1383))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1971:1971:1971) (1979:1979:1979))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1800:1800:1800) (1786:1786:1786))
- (PORT D (892:892:892) (931:931:931))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1800:1800:1800) (1786:1786:1786))
- (PORT d (1334:1334:1334) (1412:1412:1412))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1973:1973:1973) (1981:1981:1981))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
-)
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This file contains Slow Corner delays for the design using part EP4CE15F23C8,
+// with speed grade 8, core voltage 1.2V, and temperature 85 Celsius
+//
+
+//
+// This SDF file should be used for ModelSim (Verilog) only
+//
+
+(DELAYFILE
+ (SDFVERSION "2.1")
+ (DESIGN "hdmi_colorbar")
+ (DATE "04/29/2025 22:08:27")
+ (VENDOR "Altera")
+ (PROGRAM "Quartus II 32-bit")
+ (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition")
+ (DIVIDER .)
+ (TIMESCALE 1 ps)
+
+ (CELL
+ (CELLTYPE "cycloneive_pll")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
+ (DELAY
+ (ABSOLUTE
+ (PORT areset (4503:4503:4503) (4503:4503:4503))
+ (PORT inclk[0] (2340:2340:2340) (2340:2340:2340))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1862:1862:1862) (1877:1877:1877))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1906:1906:1906) (1881:1881:1881))
+ (PORT sclr (2625:2625:2625) (2815:2815:2815))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1862:1862:1862) (1877:1877:1877))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1906:1906:1906) (1881:1881:1881))
+ (PORT sclr (2625:2625:2625) (2815:2815:2815))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1301:1301:1301) (1221:1221:1221))
+ (PORT clrn (1901:1901:1901) (1876:1876:1876))
+ (PORT sload (1666:1666:1666) (1746:1746:1746))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1705:1705:1705) (1641:1641:1641))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (PORT sload (1220:1220:1220) (1194:1194:1194))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (921:921:921) (943:943:943))
+ (PORT datab (895:895:895) (907:907:907))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (898:898:898) (917:917:917))
+ (PORT datab (1232:1232:1232) (1190:1190:1190))
+ (IOPATH dataa combout (453:453:453) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (473:473:473) (489:489:489))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (924:924:924) (908:908:908))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (596:596:596) (636:636:636))
+ (PORT datab (345:345:345) (433:433:433))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (947:947:947) (915:915:915))
+ (PORT datab (640:640:640) (653:653:653))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (956:956:956) (952:952:952))
+ (PORT datab (950:950:950) (923:923:923))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (659:659:659) (666:666:666))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (659:659:659) (665:665:665))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (922:922:922) (944:944:944))
+ (PORT datab (894:894:894) (905:905:905))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (900:900:900) (916:916:916))
+ (PORT datab (1229:1229:1229) (1187:1187:1187))
+ (IOPATH dataa combout (461:461:461) (486:486:486))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (455:455:455) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (926:926:926) (910:910:910))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (599:599:599) (639:639:639))
+ (PORT datab (350:350:350) (439:439:439))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (883:883:883) (872:872:872))
+ (PORT datab (643:643:643) (655:655:655))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (959:959:959) (957:957:957))
+ (PORT datab (854:854:854) (858:858:858))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (919:919:919) (898:898:898))
+ (PORT datab (660:660:660) (667:667:667))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (660:660:660) (667:667:667))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (661:661:661) (685:685:685))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (682:682:682) (701:701:701))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (370:370:370) (459:459:459))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (403:403:403) (497:497:497))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (864:864:864) (780:780:780))
+ (PORT datab (758:758:758) (696:696:696))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (769:769:769) (713:713:713))
+ (PORT datab (572:572:572) (540:540:540))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2374:2374:2374) (2263:2263:2263))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (PORT sload (2028:2028:2028) (2102:2102:2102))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1890:1890:1890) (1745:1745:1745))
+ (PORT clrn (1886:1886:1886) (1858:1858:1858))
+ (PORT sload (1736:1736:1736) (1783:1783:1783))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1236:1236:1236) (1211:1211:1211))
+ (PORT datab (1369:1369:1369) (1309:1309:1309))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1333:1333:1333) (1288:1288:1288))
+ (PORT datab (947:947:947) (956:956:956))
+ (IOPATH dataa combout (461:461:461) (486:486:486))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (455:455:455) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (953:953:953) (953:953:953))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (849:849:849) (836:836:836))
+ (PORT datab (1338:1338:1338) (1272:1272:1272))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (941:941:941) (945:945:945))
+ (PORT datab (1018:1018:1018) (996:996:996))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1008:1008:1008) (996:996:996))
+ (PORT datab (983:983:983) (977:977:977))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (931:931:931) (940:940:940))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1173:1173:1173) (1131:1131:1131))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1234:1234:1234) (1208:1208:1208))
+ (PORT datab (1368:1368:1368) (1308:1308:1308))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1334:1334:1334) (1289:1289:1289))
+ (PORT datab (944:944:944) (952:952:952))
+ (IOPATH dataa combout (453:453:453) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (473:473:473) (489:489:489))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (955:955:955) (955:955:955))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (851:851:851) (838:838:838))
+ (PORT datab (1338:1338:1338) (1271:1271:1271))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (940:940:940) (944:944:944))
+ (PORT datab (1510:1510:1510) (1432:1432:1432))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (953:953:953) (953:953:953))
+ (PORT datab (985:985:985) (979:979:979))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1258:1258:1258) (1232:1232:1232))
+ (PORT datab (933:933:933) (943:943:943))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1176:1176:1176) (1134:1134:1134))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1231:1231:1231) (1194:1194:1194))
+ (PORT datab (851:851:851) (834:834:834))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1233:1233:1233) (1196:1196:1196))
+ (PORT datab (850:850:850) (833:833:833))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (595:595:595) (635:635:635))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1381:1381:1381) (1377:1377:1377))
+ (PORT clrn (1901:1901:1901) (1876:1876:1876))
+ (PORT sload (1666:1666:1666) (1746:1746:1746))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1300:1300:1300) (1221:1221:1221))
+ (PORT clrn (1901:1901:1901) (1876:1876:1876))
+ (PORT sload (1666:1666:1666) (1746:1746:1746))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (919:919:919) (892:892:892))
+ (PORT datab (904:904:904) (878:878:878))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (575:575:575) (609:609:609))
+ (PORT datab (358:358:358) (434:434:434))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (677:677:677) (693:693:693))
+ (PORT datab (846:846:846) (833:833:833))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (602:602:602) (649:649:649))
+ (PORT datab (842:842:842) (831:831:831))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (917:917:917) (891:891:891))
+ (PORT datab (905:905:905) (880:880:880))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (845:845:845) (828:828:828))
+ (PORT datab (376:376:376) (462:462:462))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (594:594:594) (627:627:627))
+ (PORT datab (927:927:927) (883:883:883))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (601:601:601) (647:647:647))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (666:666:666) (678:678:678))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (604:604:604) (651:651:651))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (669:669:669) (681:681:681))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2352:2352:2352) (2207:2207:2207))
+ (PORT clrn (1886:1886:1886) (1858:1858:1858))
+ (PORT sload (1736:1736:1736) (1783:1783:1783))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1305:1305:1305) (1226:1226:1226))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (PORT sload (1220:1220:1220) (1194:1194:1194))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1864:1864:1864))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2250:2250:2250) (2078:2078:2078))
+ (PORT clrn (1893:1893:1893) (1869:1869:1869))
+ (PORT sload (2481:2481:2481) (2619:2619:2619))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1375:1375:1375) (1370:1370:1370))
+ (PORT clrn (1901:1901:1901) (1876:1876:1876))
+ (PORT sload (1666:1666:1666) (1746:1746:1746))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1303:1303:1303) (1224:1224:1224))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (PORT sload (1220:1220:1220) (1194:1194:1194))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (369:369:369) (458:458:458))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (638:638:638) (647:647:647))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (337:337:337) (414:414:414))
+ (PORT datac (393:393:393) (518:518:518))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (919:919:919) (941:941:941))
+ (PORT datab (896:896:896) (909:909:909))
+ (PORT datac (854:854:854) (868:868:868))
+ (PORT datad (873:873:873) (872:872:872))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1838:1838:1838) (1851:1851:1851))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (339:339:339) (423:423:423))
+ (PORT datac (823:823:823) (803:803:803))
+ (PORT datad (1285:1285:1285) (1276:1276:1276))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (401:401:401) (497:497:497))
+ (PORT datab (373:373:373) (461:461:461))
+ (PORT datac (336:336:336) (423:423:423))
+ (PORT datad (338:338:338) (414:414:414))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (473:473:473) (489:489:489))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (344:344:344) (427:427:427))
+ (PORT datac (296:296:296) (375:375:375))
+ (PORT datad (1557:1557:1557) (1492:1492:1492))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (379:379:379) (477:477:477))
+ (PORT datab (376:376:376) (466:466:466))
+ (PORT datac (335:335:335) (423:423:423))
+ (PORT datad (337:337:337) (414:414:414))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT asdata (1604:1604:1604) (1533:1533:1533))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (820:820:820) (765:765:765))
+ (PORT datab (384:384:384) (461:461:461))
+ (PORT datad (282:282:282) (314:314:314))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (445:445:445) (567:567:567))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (443:443:443) (565:565:565))
+ (PORT datac (304:304:304) (388:388:388))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (474:474:474) (461:461:461))
+ (PORT datab (276:276:276) (301:301:301))
+ (PORT datac (887:887:887) (844:844:844))
+ (PORT datad (897:897:897) (844:844:844))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (311:311:311))
+ (PORT datab (770:770:770) (709:709:709))
+ (PORT datac (448:448:448) (421:421:421))
+ (PORT datad (897:897:897) (844:844:844))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (493:493:493) (487:487:487))
+ (PORT datab (950:950:950) (906:906:906))
+ (PORT datac (839:839:839) (803:803:803))
+ (PORT datad (240:240:240) (259:259:259))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (455:455:455) (424:424:424))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (812:812:812) (760:760:760))
+ (PORT datab (278:278:278) (304:304:304))
+ (PORT datac (239:239:239) (266:266:266))
+ (PORT datad (893:893:893) (861:861:861))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (407:407:407) (408:408:408))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (929:929:929) (883:883:883))
+ (PORT datab (561:561:561) (533:533:533))
+ (PORT datac (239:239:239) (266:266:266))
+ (PORT datad (330:330:330) (375:375:375))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (560:560:560) (566:566:566))
+ (PORT datab (507:507:507) (501:501:501))
+ (PORT datac (799:799:799) (799:799:799))
+ (PORT datad (555:555:555) (561:561:561))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (280:280:280) (313:313:313))
+ (PORT datab (279:279:279) (305:305:305))
+ (PORT datac (273:273:273) (304:304:304))
+ (PORT datad (546:546:546) (569:569:569))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (492:492:492) (484:484:484))
+ (PORT datab (276:276:276) (301:301:301))
+ (PORT datac (841:841:841) (805:805:805))
+ (PORT datad (892:892:892) (860:860:860))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (312:312:312))
+ (PORT datab (541:541:541) (499:499:499))
+ (PORT datac (237:237:237) (263:263:263))
+ (PORT datad (891:891:891) (859:859:859))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (929:929:929) (889:889:889))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (486:486:486) (462:462:462))
+ (PORT datad (871:871:871) (851:851:851))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (283:283:283) (314:314:314))
+ (PORT datad (361:361:361) (444:444:444))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1838:1838:1838) (1851:1851:1851))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (439:439:439))
+ (PORT datac (511:511:511) (531:531:531))
+ (PORT datad (1551:1551:1551) (1455:1455:1455))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1621:1621:1621) (1487:1487:1487))
+ (PORT datac (1907:1907:1907) (1804:1804:1804))
+ (PORT datad (1242:1242:1242) (1219:1219:1219))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (339:339:339) (416:416:416))
+ (PORT datac (506:506:506) (533:533:533))
+ (PORT datad (1286:1286:1286) (1277:1277:1277))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1182:1182:1182) (1115:1115:1115))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datac (494:494:494) (468:468:468))
+ (PORT datad (927:927:927) (878:878:878))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1182:1182:1182) (1115:1115:1115))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datac (235:235:235) (261:261:261))
+ (PORT datad (483:483:483) (452:452:452))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (278:278:278) (310:310:310))
+ (PORT datab (983:983:983) (922:922:922))
+ (PORT datac (236:236:236) (263:263:263))
+ (PORT datad (1138:1138:1138) (1063:1063:1063))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (311:311:311))
+ (PORT datab (531:531:531) (494:494:494))
+ (PORT datac (1133:1133:1133) (1049:1049:1049))
+ (PORT datad (240:240:240) (258:258:258))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1026:1026:1026) (1005:1005:1005))
+ (PORT datab (279:279:279) (304:304:304))
+ (PORT datac (865:865:865) (824:824:824))
+ (PORT datad (540:540:540) (535:535:535))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1181:1181:1181) (1114:1114:1114))
+ (PORT datab (275:275:275) (300:300:300))
+ (PORT datac (449:449:449) (436:436:436))
+ (PORT datad (922:922:922) (873:873:873))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (280:280:280) (312:312:312))
+ (PORT datab (545:545:545) (505:505:505))
+ (PORT datac (239:239:239) (266:266:266))
+ (PORT datad (1139:1139:1139) (1064:1064:1064))
+ (IOPATH dataa combout (404:404:404) (398:398:398))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (608:608:608) (591:591:591))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (866:866:866) (825:825:825))
+ (PORT datad (239:239:239) (258:258:258))
+ (IOPATH dataa combout (453:453:453) (418:418:418))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (933:933:933) (874:874:874))
+ (PORT datab (1370:1370:1370) (1310:1310:1310))
+ (PORT datac (1193:1193:1193) (1164:1164:1164))
+ (PORT datad (789:789:789) (738:738:738))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1232:1232:1232) (1206:1206:1206))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datac (1132:1132:1132) (1048:1048:1048))
+ (PORT datad (237:237:237) (255:255:255))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (970:970:970) (962:962:962))
+ (PORT datad (831:831:831) (782:782:782))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (339:339:339) (423:423:423))
+ (PORT datab (337:337:337) (414:414:414))
+ (PORT datad (1557:1557:1557) (1493:1493:1493))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT asdata (1357:1357:1357) (1325:1325:1325))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (389:389:389) (492:492:492))
+ (PORT datab (329:329:329) (371:371:371))
+ (PORT datad (895:895:895) (857:857:857))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1606:1606:1606) (1555:1555:1555))
+ (PORT datab (338:338:338) (415:415:415))
+ (PORT datac (503:503:503) (529:529:529))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (323:323:323) (364:364:364))
+ (PORT datab (542:542:542) (502:502:502))
+ (PORT datac (777:777:777) (718:718:718))
+ (PORT datad (485:485:485) (457:457:457))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (880:880:880) (868:868:868))
+ (PORT datab (817:817:817) (736:736:736))
+ (PORT datac (806:806:806) (799:799:799))
+ (PORT datad (792:792:792) (729:729:729))
+ (IOPATH dataa combout (393:393:393) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (851:851:851) (836:836:836))
+ (PORT datab (899:899:899) (862:862:862))
+ (PORT datac (757:757:757) (686:686:686))
+ (PORT datad (801:801:801) (750:750:750))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (393:393:393) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (784:784:784) (734:734:734))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (741:741:741) (670:670:670))
+ (PORT datad (538:538:538) (553:553:553))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (852:852:852) (837:837:837))
+ (PORT datab (861:861:861) (797:797:797))
+ (PORT datac (695:695:695) (621:621:621))
+ (PORT datad (237:237:237) (255:255:255))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (455:455:455) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (858:858:858) (846:846:846))
+ (PORT datab (475:475:475) (459:459:459))
+ (PORT datac (239:239:239) (265:265:265))
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (478:478:478) (466:466:466))
+ (PORT datab (854:854:854) (780:780:780))
+ (PORT datac (838:838:838) (822:822:822))
+ (PORT datad (237:237:237) (256:256:256))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (1214:1214:1214) (1164:1164:1164))
+ (PORT datad (1117:1117:1117) (1016:1016:1016))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (552:552:552) (589:589:589))
+ (PORT datab (659:659:659) (714:714:714))
+ (PORT datac (881:881:881) (822:822:822))
+ (PORT datad (517:517:517) (503:503:503))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (382:382:382) (468:468:468))
+ (PORT datac (1242:1242:1242) (1187:1187:1187))
+ (PORT datad (474:474:474) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (399:399:399) (525:525:525))
+ (PORT datad (506:506:506) (530:530:530))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (916:916:916) (896:896:896))
+ (PORT datab (651:651:651) (657:657:657))
+ (PORT datac (602:602:602) (631:631:631))
+ (PORT datad (865:865:865) (850:850:850))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (995:995:995) (1006:1006:1006))
+ (PORT datab (372:372:372) (421:421:421))
+ (PORT datac (551:551:551) (568:568:568))
+ (PORT datad (555:555:555) (561:561:561))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1838:1838:1838) (1851:1851:1851))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (438:438:438))
+ (PORT datac (297:297:297) (376:376:376))
+ (PORT datad (1550:1550:1550) (1454:1454:1454))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (995:995:995) (1006:1006:1006))
+ (PORT datab (372:372:372) (421:421:421))
+ (PORT datac (886:886:886) (838:838:838))
+ (PORT datad (510:510:510) (532:532:532))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1322:1322:1322) (1246:1246:1246))
+ (PORT datac (296:296:296) (374:374:374))
+ (PORT datad (1286:1286:1286) (1277:1277:1277))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (390:390:390) (493:493:493))
+ (PORT datab (335:335:335) (411:411:411))
+ (PORT datac (288:288:288) (333:333:333))
+ (PORT datad (893:893:893) (854:854:854))
+ (IOPATH dataa combout (393:393:393) (407:407:407))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (339:339:339) (423:423:423))
+ (PORT datab (336:336:336) (412:412:412))
+ (PORT datad (1562:1562:1562) (1499:1499:1499))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT asdata (1349:1349:1349) (1335:1335:1335))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (389:389:389) (492:492:492))
+ (PORT datab (329:329:329) (371:371:371))
+ (PORT datad (896:896:896) (858:858:858))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1605:1605:1605) (1553:1553:1553))
+ (PORT datab (345:345:345) (429:429:429))
+ (PORT datac (1176:1176:1176) (1130:1130:1130))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1196:1196:1196) (1173:1173:1173))
+ (PORT datac (1161:1161:1161) (1108:1108:1108))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (616:616:616) (624:624:624))
+ (PORT datab (653:653:653) (707:707:707))
+ (PORT datac (874:874:874) (815:815:815))
+ (PORT datad (520:520:520) (509:509:509))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (562:562:562) (558:558:558))
+ (PORT datab (655:655:655) (710:710:710))
+ (PORT datac (877:877:877) (817:817:817))
+ (PORT datad (804:804:804) (780:780:780))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (380:380:380) (477:477:477))
+ (PORT datab (375:375:375) (461:461:461))
+ (PORT datac (326:326:326) (411:411:411))
+ (PORT datad (328:328:328) (401:401:401))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (908:908:908) (885:885:885))
+ (PORT datab (362:362:362) (418:418:418))
+ (PORT datad (470:470:470) (438:438:438))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[7\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1302:1302:1302) (1291:1291:1291))
+ (PORT datad (1258:1258:1258) (1248:1248:1248))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (339:339:339) (423:423:423))
+ (PORT datad (1554:1554:1554) (1458:1458:1458))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[4\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1301:1301:1301) (1265:1265:1265))
+ (PORT datac (1258:1258:1258) (1245:1245:1245))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (997:997:997) (1008:1008:1008))
+ (PORT datab (596:596:596) (603:603:603))
+ (PORT datad (331:331:331) (376:376:376))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1886:1886:1886) (1858:1858:1858))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (337:337:337) (414:414:414))
+ (PORT datad (1287:1287:1287) (1278:1278:1278))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (960:960:960) (939:939:939))
+ (PORT datad (800:800:800) (743:743:743))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (833:833:833) (809:809:809))
+ (PORT datad (800:800:800) (743:743:743))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~24)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (962:962:962) (941:941:941))
+ (PORT datab (275:275:275) (300:300:300))
+ (PORT datac (798:798:798) (752:752:752))
+ (PORT datad (861:861:861) (829:829:829))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~29)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (935:935:935) (904:904:904))
+ (PORT datab (874:874:874) (858:858:858))
+ (PORT datac (896:896:896) (858:858:858))
+ (PORT datad (1151:1151:1151) (1060:1060:1060))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[5\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (995:995:995) (1013:1013:1013))
+ (PORT datac (887:887:887) (883:883:883))
+ (PORT datad (899:899:899) (918:918:918))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (891:891:891) (850:850:850))
+ (PORT datab (533:533:533) (560:560:560))
+ (PORT datac (835:835:835) (835:835:835))
+ (PORT datad (493:493:493) (461:461:461))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1884:1884:1884) (1857:1857:1857))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (921:921:921) (926:926:926))
+ (PORT datad (1564:1564:1564) (1501:1501:1501))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1886:1886:1886) (1858:1858:1858))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (343:343:343) (428:428:428))
+ (PORT datad (1288:1288:1288) (1280:1280:1280))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[7\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1196:1196:1196) (1173:1173:1173))
+ (PORT datad (1217:1217:1217) (1166:1166:1166))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[4\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (1169:1169:1169) (1117:1117:1117))
+ (PORT datad (1215:1215:1215) (1165:1165:1165))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (939:939:939) (865:865:865))
+ (PORT datab (656:656:656) (711:711:711))
+ (PORT datad (520:520:520) (507:507:507))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1289:1289:1289) (1276:1276:1276))
+ (PORT datab (1619:1619:1619) (1485:1485:1485))
+ (PORT datac (1909:1909:1909) (1807:1807:1807))
+ (PORT datad (332:332:332) (411:411:411))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1602:1602:1602) (1523:1523:1523))
+ (PORT datab (1300:1300:1300) (1220:1220:1220))
+ (PORT datad (930:930:930) (935:935:935))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[7\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (958:958:958) (962:962:962))
+ (PORT datac (946:946:946) (959:959:959))
+ (PORT datad (921:921:921) (931:931:931))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (621:621:621) (630:630:630))
+ (PORT datab (1596:1596:1596) (1441:1441:1441))
+ (PORT datac (1264:1264:1264) (1218:1218:1218))
+ (PORT datad (1884:1884:1884) (1758:1758:1758))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1272:1272:1272) (1219:1219:1219))
+ (PORT datac (1887:1887:1887) (1751:1751:1751))
+ (PORT datad (930:930:930) (936:936:936))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (934:934:934) (904:904:904))
+ (PORT datab (874:874:874) (857:857:857))
+ (PORT datac (894:894:894) (856:856:856))
+ (PORT datad (1150:1150:1150) (1059:1059:1059))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~35)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (520:520:520) (511:511:511))
+ (PORT datab (284:284:284) (316:316:316))
+ (PORT datac (900:900:900) (863:863:863))
+ (PORT datad (849:849:849) (811:811:811))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2\~_wirecell)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (2339:2339:2339) (2308:2308:2308))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (392:392:392) (516:516:516))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (486:486:486) (457:457:457))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (867:867:867) (799:799:799))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1091:1091:1091) (994:994:994))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (437:437:437) (414:414:414))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (472:472:472) (452:452:452))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (827:827:827) (782:782:782))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (278:278:278) (303:303:303))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_clk_p\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2838:2838:2838) (2775:2775:2775))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_clk_n\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2810:2810:2810) (2852:2852:2852))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_p\[0\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2848:2848:2848) (2785:2785:2785))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_p\[1\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2848:2848:2848) (2785:2785:2785))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_p\[2\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2848:2848:2848) (2785:2785:2785))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_n\[0\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2838:2838:2838) (2775:2775:2775))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_n\[1\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2858:2858:2858) (2795:2795:2795))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_n\[2\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2848:2848:2848) (2785:2785:2785))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (347:347:347) (437:437:437))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT sclr (1063:1063:1063) (1234:1234:1234))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (439:439:439))
+ (PORT datad (297:297:297) (367:367:367))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT sclr (1063:1063:1063) (1234:1234:1234))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (346:346:346) (434:434:434))
+ (PORT datac (399:399:399) (525:525:525))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (336:336:336) (412:412:412))
+ (PORT datac (398:398:398) (524:524:524))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (394:394:394) (519:519:519))
+ (PORT datad (297:297:297) (367:367:367))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (341:341:341) (426:426:426))
+ (PORT datac (400:400:400) (526:526:526))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_clk\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (806:806:806) (852:852:852))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[1\]\~clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (2339:2339:2339) (2308:2308:2308))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1793:1793:1793) (1777:1777:1777))
+ (PORT D (1126:1126:1126) (1143:1143:1143))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1793:1793:1793) (1777:1777:1777))
+ (PORT d (1221:1221:1221) (1235:1235:1235))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1966:1966:1966) (1972:1972:1972))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1796:1796:1796) (1779:1779:1779))
+ (PORT D (1129:1129:1129) (1217:1217:1217))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1796:1796:1796) (1779:1779:1779))
+ (PORT d (1513:1513:1513) (1629:1629:1629))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1969:1969:1969) (1974:1974:1974))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (576:576:576) (609:609:609))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (629:629:629) (633:633:633))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (888:888:888) (880:880:880))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (915:915:915) (895:895:895))
+ (PORT datab (366:366:366) (424:424:424))
+ (PORT datad (447:447:447) (410:410:410))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_rst_n\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (766:766:766) (812:812:812))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1758:1758:1758) (1828:1828:1828))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (5358:5358:5358) (5170:5170:5170))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE rst_n\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (4488:4488:4488) (4652:4652:4652))
+ (PORT datab (334:334:334) (410:410:410))
+ (PORT datad (1047:1047:1047) (1104:1104:1104))
+ (IOPATH dataa combout (421:421:421) (428:428:428))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE rst_n\~0clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (1464:1464:1464) (1382:1382:1382))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (360:360:360) (436:436:436))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (365:365:365) (444:444:444))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (365:365:365) (447:447:447))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (366:366:366) (446:446:446))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (359:359:359) (436:436:436))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (839:839:839) (833:833:833))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (362:362:362) (446:446:446))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (360:360:360) (436:436:436))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (362:362:362) (446:446:446))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (371:371:371) (461:461:461))
+ (PORT datab (369:369:369) (452:452:452))
+ (PORT datac (328:328:328) (412:412:412))
+ (PORT datad (330:330:330) (407:407:407))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (315:315:315) (352:352:352))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datac (465:465:465) (445:445:445))
+ (PORT datad (489:489:489) (468:468:468))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1364:1364:1364) (1315:1315:1315))
+ (PORT datab (645:645:645) (658:658:658))
+ (PORT datac (603:603:603) (629:629:629))
+ (PORT datad (589:589:589) (619:619:619))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (407:407:407) (408:408:408))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (368:368:368) (451:451:451))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (315:315:315) (353:353:353))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (466:466:466) (446:446:446))
+ (PORT datad (490:490:490) (469:469:469))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (370:370:370) (449:449:449))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (398:398:398) (487:487:487))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[11\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (594:594:594) (624:624:624))
+ (PORT datab (366:366:366) (449:449:449))
+ (PORT datac (351:351:351) (436:436:436))
+ (PORT datad (328:328:328) (405:405:405))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1227:1227:1227) (1100:1100:1100))
+ (PORT datac (525:525:525) (500:500:500))
+ (PORT datad (812:812:812) (768:768:768))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (548:548:548) (551:551:551))
+ (PORT datab (279:279:279) (304:304:304))
+ (PORT datad (859:859:859) (802:802:802))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1864:1864:1864) (1880:1880:1880))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1868:1868:1868))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (360:360:360) (436:436:436))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (548:548:548) (551:551:551))
+ (PORT datab (279:279:279) (304:304:304))
+ (PORT datad (859:859:859) (801:801:801))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1864:1864:1864) (1880:1880:1880))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1868:1868:1868))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (631:631:631) (636:636:636))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (913:913:913) (892:892:892))
+ (PORT datab (531:531:531) (494:494:494))
+ (PORT datad (322:322:322) (379:379:379))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (569:569:569) (600:600:600))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (909:909:909) (886:886:886))
+ (PORT datab (476:476:476) (459:459:459))
+ (PORT datad (319:319:319) (375:375:375))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (623:623:623) (631:631:631))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (909:909:909) (887:887:887))
+ (PORT datab (530:530:530) (493:493:493))
+ (PORT datad (319:319:319) (375:375:375))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (945:945:945) (937:937:937))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (914:914:914) (893:893:893))
+ (PORT datab (366:366:366) (423:423:423))
+ (PORT datad (760:760:760) (687:687:687))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (364:364:364) (448:448:448))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[10\]\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (547:547:547) (549:549:549))
+ (PORT datab (482:482:482) (466:466:466))
+ (PORT datad (858:858:858) (801:801:801))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1864:1864:1864) (1880:1880:1880))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1868:1868:1868))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (363:363:363) (440:440:440))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (547:547:547) (550:550:550))
+ (PORT datab (821:821:821) (761:761:761))
+ (PORT datad (858:858:858) (801:801:801))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[11\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1864:1864:1864) (1880:1880:1880))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1868:1868:1868))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (893:893:893) (888:888:888))
+ (PORT datad (911:911:911) (904:904:904))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (616:616:616) (625:625:625))
+ (PORT datab (367:367:367) (447:447:447))
+ (PORT datac (327:327:327) (411:411:411))
+ (PORT datad (328:328:328) (402:402:402))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (856:856:856) (854:854:854))
+ (PORT datab (370:370:370) (454:454:454))
+ (PORT datac (484:484:484) (457:457:457))
+ (PORT datad (273:273:273) (294:294:294))
+ (IOPATH dataa combout (393:393:393) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (281:281:281) (313:313:313))
+ (PORT datab (285:285:285) (316:316:316))
+ (PORT datac (863:863:863) (836:836:836))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (916:916:916) (896:896:896))
+ (PORT datab (367:367:367) (425:425:425))
+ (PORT datad (468:468:468) (436:436:436))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (916:916:916) (896:896:896))
+ (PORT datab (777:777:777) (707:707:707))
+ (PORT datad (324:324:324) (381:381:381))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (377:377:377) (473:473:473))
+ (PORT datab (367:367:367) (451:451:451))
+ (PORT datac (334:334:334) (424:424:424))
+ (PORT datad (328:328:328) (401:401:401))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (393:393:393) (412:412:412))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (368:368:368) (456:456:456))
+ (PORT datab (367:367:367) (448:448:448))
+ (PORT datac (326:326:326) (411:411:411))
+ (PORT datad (327:327:327) (400:400:400))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (851:851:851) (848:848:848))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (476:476:476) (471:471:471))
+ (PORT datad (272:272:272) (292:292:292))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (949:949:949) (951:951:951))
+ (PORT datab (934:934:934) (914:914:914))
+ (PORT datac (890:890:890) (884:884:884))
+ (PORT datad (823:823:823) (809:809:809))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (960:960:960) (894:894:894))
+ (PORT datab (1142:1142:1142) (1092:1092:1092))
+ (PORT datac (1162:1162:1162) (1184:1184:1184))
+ (PORT datad (246:246:246) (267:267:267))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (407:407:407) (408:408:408))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (855:855:855) (821:821:821))
+ (PORT datab (1231:1231:1231) (1104:1104:1104))
+ (PORT datac (523:523:523) (498:498:498))
+ (PORT datad (870:870:870) (805:805:805))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datab combout (432:432:432) (433:433:433))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (574:574:574) (607:607:607))
+ (PORT datab (626:626:626) (630:630:630))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (636:636:636) (641:641:641))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (574:574:574) (607:607:607))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (642:642:642) (655:655:655))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (891:891:891) (882:882:882))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (652:652:652) (665:665:665))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (649:649:649) (664:664:664))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (648:648:648) (667:667:667))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|always0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (966:966:966) (947:947:947))
+ (PORT datab (880:880:880) (864:864:864))
+ (PORT datac (837:837:837) (813:813:813))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (968:968:968) (950:950:950))
+ (PORT datac (838:838:838) (814:814:814))
+ (PORT datad (802:802:802) (745:745:745))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (923:923:923) (897:897:897))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|always0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (895:895:895) (851:851:851))
+ (PORT datab (275:275:275) (300:300:300))
+ (PORT datac (251:251:251) (289:289:289))
+ (PORT datad (859:859:859) (828:828:828))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (882:882:882) (866:866:866))
+ (PORT datac (834:834:834) (810:810:810))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (915:915:915) (895:895:895))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (967:967:967) (948:948:948))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datac (850:850:850) (803:803:803))
+ (PORT datad (859:859:859) (827:827:827))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (938:938:938) (906:906:906))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_x\[11\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (614:614:614) (603:603:603))
+ (PORT datad (797:797:797) (747:747:747))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (503:503:503) (487:487:487))
+ (PORT datab (496:496:496) (489:489:489))
+ (PORT datac (499:499:499) (481:481:481))
+ (PORT datad (290:290:290) (317:317:317))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~17)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (881:881:881) (865:865:865))
+ (PORT datac (836:836:836) (812:812:812))
+ (PORT datad (860:860:860) (828:828:828))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~34)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (619:619:619) (609:609:609))
+ (PORT datab (287:287:287) (319:319:319))
+ (PORT datac (448:448:448) (424:424:424))
+ (PORT datad (814:814:814) (766:766:766))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1383:1383:1383) (1367:1367:1367))
+ (PORT datad (927:927:927) (928:928:928))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (903:903:903) (839:839:839))
+ (PORT datab (946:946:946) (958:958:958))
+ (PORT datac (847:847:847) (797:797:797))
+ (PORT datad (1342:1342:1342) (1316:1316:1316))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (347:347:347) (405:405:405))
+ (PORT datab (1338:1338:1338) (1274:1274:1274))
+ (PORT datac (976:976:976) (955:955:955))
+ (PORT datad (896:896:896) (880:880:880))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (864:864:864) (841:841:841))
+ (PORT datab (873:873:873) (856:856:856))
+ (PORT datac (898:898:898) (861:861:861))
+ (PORT datad (850:850:850) (812:812:812))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (864:864:864) (841:841:841))
+ (PORT datab (873:873:873) (856:856:856))
+ (PORT datac (899:899:899) (862:862:862))
+ (PORT datad (864:864:864) (845:845:845))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|always0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (864:864:864) (841:841:841))
+ (PORT datab (284:284:284) (316:316:316))
+ (PORT datac (900:900:900) (862:862:862))
+ (PORT datad (849:849:849) (811:811:811))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (935:935:935) (904:904:904))
+ (PORT datab (873:873:873) (857:857:857))
+ (PORT datad (1151:1151:1151) (1061:1061:1061))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (935:935:935) (904:904:904))
+ (PORT datab (286:286:286) (318:318:318))
+ (PORT datac (254:254:254) (291:291:291))
+ (PORT datad (256:256:256) (284:284:284))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (294:294:294) (336:336:336))
+ (PORT datab (286:286:286) (314:314:314))
+ (PORT datac (716:716:716) (654:654:654))
+ (PORT datad (290:290:290) (317:317:317))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1913:1913:1913) (1887:1887:1887))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~19)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (288:288:288) (324:324:324))
+ (PORT datab (293:293:293) (324:324:324))
+ (PORT datac (250:250:250) (286:286:286))
+ (PORT datad (841:841:841) (779:779:779))
+ (IOPATH dataa combout (453:453:453) (472:472:472))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (295:295:295) (336:336:336))
+ (PORT datac (720:720:720) (653:653:653))
+ (PORT datad (246:246:246) (272:272:272))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1913:1913:1913) (1887:1887:1887))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (860:860:860) (833:833:833))
+ (PORT datab (306:306:306) (331:331:331))
+ (PORT datac (833:833:833) (816:816:816))
+ (PORT datad (558:558:558) (577:577:577))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan4\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (642:642:642) (655:655:655))
+ (PORT datac (600:600:600) (626:626:626))
+ (PORT datad (854:854:854) (842:842:842))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (997:997:997) (987:987:987))
+ (PORT datab (948:948:948) (961:961:961))
+ (PORT datac (846:846:846) (796:796:796))
+ (PORT datad (1343:1343:1343) (1318:1318:1318))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (960:960:960) (894:894:894))
+ (PORT datac (1160:1160:1160) (1182:1182:1182))
+ (PORT datad (246:246:246) (267:267:267))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[2\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (944:944:944) (941:941:941))
+ (PORT datab (934:934:934) (895:895:895))
+ (PORT datac (811:811:811) (778:778:778))
+ (PORT datad (308:308:308) (355:355:355))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1304:1304:1304) (1292:1292:1292))
+ (PORT datab (1321:1321:1321) (1297:1297:1297))
+ (PORT datac (1237:1237:1237) (1216:1216:1216))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1297:1297:1297) (1284:1284:1284))
+ (PORT datad (1254:1254:1254) (1242:1242:1242))
+ (IOPATH dataa combout (421:421:421) (428:428:428))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1304:1304:1304) (1293:1293:1293))
+ (PORT datab (1321:1321:1321) (1298:1298:1298))
+ (PORT datac (1238:1238:1238) (1217:1217:1217))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (921:921:921) (944:944:944))
+ (PORT datab (895:895:895) (907:907:907))
+ (PORT datac (856:856:856) (870:870:870))
+ (PORT datad (870:870:870) (869:869:869))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (240:240:240) (259:259:259))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (992:992:992) (1003:1003:1003))
+ (PORT datab (872:872:872) (850:850:850))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (907:907:907) (901:901:901))
+ (PORT datab (367:367:367) (448:448:448))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (993:993:993) (1004:1004:1004))
+ (PORT datab (596:596:596) (604:604:604))
+ (PORT datad (332:332:332) (378:378:378))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1298:1298:1298) (1285:1285:1285))
+ (PORT datab (1316:1316:1316) (1291:1291:1291))
+ (PORT datac (1233:1233:1233) (1210:1210:1210))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (929:929:929) (883:883:883))
+ (PORT datab (565:565:565) (538:538:538))
+ (PORT datac (272:272:272) (303:303:303))
+ (PORT datad (565:565:565) (583:583:583))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (561:561:561) (568:568:568))
+ (PORT datab (277:277:277) (303:303:303))
+ (PORT datac (236:236:236) (262:262:262))
+ (PORT datad (551:551:551) (570:570:570))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[1\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (943:943:943) (940:940:940))
+ (PORT datab (884:884:884) (854:854:854))
+ (PORT datac (814:814:814) (782:782:782))
+ (PORT datad (306:306:306) (354:354:354))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1877:1877:1877))
+ (PORT asdata (1422:1422:1422) (1409:1409:1409))
+ (PORT clrn (1907:1907:1907) (1882:1882:1882))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (919:919:919) (941:941:941))
+ (PORT datab (897:897:897) (909:909:909))
+ (PORT datac (853:853:853) (867:867:867))
+ (PORT datad (874:874:874) (873:873:873))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (775:775:775) (708:708:708))
+ (PORT datad (871:871:871) (856:856:856))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (544:544:544) (509:509:509))
+ (PORT datab (278:278:278) (304:304:304))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (490:490:490) (472:472:472))
+ (PORT datab (475:475:475) (459:459:459))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (942:942:942) (939:939:939))
+ (PORT datac (816:816:816) (785:785:785))
+ (PORT datad (305:305:305) (352:352:352))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg1)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (1106:1106:1106) (1054:1054:1054))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1862:1862:1862) (1877:1877:1877))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1906:1906:1906) (1881:1881:1881))
+ (PORT sclr (2625:2625:2625) (2815:2815:2815))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (351:351:351) (440:440:440))
+ (PORT datac (885:885:885) (842:842:842))
+ (PORT datad (559:559:559) (589:589:589))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (776:776:776) (713:713:713))
+ (PORT datab (515:515:515) (505:505:505))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1862:1862:1862) (1877:1877:1877))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1906:1906:1906) (1881:1881:1881))
+ (PORT sclr (2625:2625:2625) (2815:2815:2815))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (368:368:368) (458:458:458))
+ (PORT datab (391:391:391) (474:474:474))
+ (PORT datac (325:325:325) (409:409:409))
+ (PORT datad (318:318:318) (388:388:388))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (403:403:403) (496:496:496))
+ (PORT datab (285:285:285) (316:316:316))
+ (PORT datac (775:775:775) (709:709:709))
+ (PORT datad (871:871:871) (856:856:856))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (393:393:393) (477:477:477))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (590:590:590) (623:623:623))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (578:578:578) (576:576:576))
+ (PORT datab (304:304:304) (328:328:328))
+ (PORT datac (236:236:236) (263:263:263))
+ (PORT datad (482:482:482) (470:470:470))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1254:1254:1254) (1242:1242:1242))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (278:278:278) (309:309:309))
+ (PORT datab (595:595:595) (603:603:603))
+ (PORT datac (853:853:853) (843:843:843))
+ (PORT datad (951:951:951) (954:954:954))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (882:882:882) (850:850:850))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (238:238:238) (265:265:265))
+ (PORT datad (890:890:890) (858:858:858))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (484:484:484) (470:470:470))
+ (PORT datab (762:762:762) (703:703:703))
+ (PORT datac (886:886:886) (843:843:843))
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (539:539:539) (500:500:500))
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1862:1862:1862) (1877:1877:1877))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1906:1906:1906) (1881:1881:1881))
+ (PORT sclr (2625:2625:2625) (2815:2815:2815))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (773:773:773) (712:712:712))
+ (PORT datab (773:773:773) (713:713:713))
+ (PORT datac (853:853:853) (842:842:842))
+ (PORT datad (589:589:589) (623:623:623))
+ (IOPATH dataa combout (404:404:404) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT asdata (1998:1998:1998) (1896:1896:1896))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (865:865:865) (818:818:818))
+ (PORT datab (581:581:581) (540:540:540))
+ (PORT datad (321:321:321) (391:391:391))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (462:462:462))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (799:799:799) (752:752:752))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (311:311:311))
+ (PORT datab (893:893:893) (884:884:884))
+ (PORT datac (606:606:606) (632:632:632))
+ (PORT datad (592:592:592) (622:622:622))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg1)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1899:1899:1899) (1874:1874:1874))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1869:1869:1869))
+ (PORT asdata (760:760:760) (829:829:829))
+ (PORT clrn (1899:1899:1899) (1874:1874:1874))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2374:2374:2374) (2262:2262:2262))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (PORT sload (2028:2028:2028) (2102:2102:2102))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1320:1320:1320) (1296:1296:1296))
+ (PORT datac (1236:1236:1236) (1215:1215:1215))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (995:995:995) (1006:1006:1006))
+ (PORT datab (371:371:371) (420:420:420))
+ (PORT datac (886:886:886) (837:837:837))
+ (PORT datad (510:510:510) (531:531:531))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (856:856:856) (794:794:794))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2375:2375:2375) (2264:2264:2264))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (PORT sload (2028:2028:2028) (2102:2102:2102))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (340:340:340) (424:424:424))
+ (PORT datab (337:337:337) (414:414:414))
+ (PORT datad (1552:1552:1552) (1457:1457:1457))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1838:1838:1838) (1851:1851:1851))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1598:1598:1598) (1511:1511:1511))
+ (PORT datab (339:339:339) (416:416:416))
+ (PORT datad (297:297:297) (367:367:367))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1838:1838:1838) (1851:1851:1851))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1864:1864:1864))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2723:2723:2723) (2568:2568:2568))
+ (PORT clrn (1893:1893:1893) (1869:1869:1869))
+ (PORT sload (2481:2481:2481) (2619:2619:2619))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (341:341:341) (425:425:425))
+ (PORT datac (1221:1221:1221) (1162:1162:1162))
+ (PORT datad (1288:1288:1288) (1279:1279:1279))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1796:1796:1796) (1779:1779:1779))
+ (PORT D (1410:1410:1410) (1366:1366:1366))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1796:1796:1796) (1779:1779:1779))
+ (PORT d (1744:1744:1744) (1663:1663:1663))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1969:1969:1969) (1974:1974:1974))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~30)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (864:864:864) (841:841:841))
+ (PORT datab (854:854:854) (792:792:792))
+ (PORT datac (902:902:902) (865:865:865))
+ (PORT datad (848:848:848) (810:810:810))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (278:278:278) (310:310:310))
+ (PORT datab (855:855:855) (794:794:794))
+ (PORT datac (821:821:821) (794:794:794))
+ (PORT datad (851:851:851) (814:814:814))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~31)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (311:311:311))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datad (245:245:245) (270:270:270))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1870:1870:1870) (1884:1884:1884))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1914:1914:1914) (1888:1888:1888))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[6\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (943:943:943) (941:941:941))
+ (PORT datab (819:819:819) (794:794:794))
+ (PORT datac (813:813:813) (781:781:781))
+ (PORT datad (307:307:307) (354:354:354))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_x\[10\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (967:967:967) (949:949:949))
+ (PORT datac (850:850:850) (803:803:803))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~23)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (485:485:485) (472:472:472))
+ (PORT datab (881:881:881) (864:864:864))
+ (PORT datac (837:837:837) (813:813:813))
+ (PORT datad (859:859:859) (827:827:827))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan10\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (960:960:960) (938:938:938))
+ (PORT datab (882:882:882) (866:866:866))
+ (PORT datac (256:256:256) (294:294:294))
+ (PORT datad (861:861:861) (830:830:830))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~25)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (280:280:280) (312:312:312))
+ (PORT datab (275:275:275) (300:300:300))
+ (PORT datac (262:262:262) (287:287:287))
+ (PORT datad (265:265:265) (283:283:283))
+ (IOPATH dataa combout (392:392:392) (407:407:407))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[9\]\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (962:962:962) (942:942:942))
+ (PORT datad (839:839:839) (822:822:822))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[9\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (296:296:296) (338:338:338))
+ (PORT datab (921:921:921) (876:876:876))
+ (PORT datac (853:853:853) (806:806:806))
+ (PORT datad (237:237:237) (255:255:255))
+ (IOPATH dataa combout (404:404:404) (398:398:398))
+ (IOPATH datab combout (435:435:435) (424:424:424))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~36)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (617:617:617) (607:607:607))
+ (PORT datab (495:495:495) (487:487:487))
+ (PORT datac (820:820:820) (739:739:739))
+ (PORT datad (795:795:795) (744:744:744))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~21)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (934:934:934) (904:904:904))
+ (PORT datab (286:286:286) (318:318:318))
+ (PORT datac (255:255:255) (291:291:291))
+ (PORT datad (256:256:256) (284:284:284))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~28)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (748:748:748) (687:687:687))
+ (PORT datab (539:539:539) (506:506:506))
+ (PORT datac (244:244:244) (275:275:275))
+ (PORT datad (787:787:787) (708:708:708))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1913:1913:1913) (1887:1887:1887))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (942:942:942) (938:938:938))
+ (PORT datab (833:833:833) (827:827:827))
+ (PORT datac (820:820:820) (790:790:790))
+ (PORT datad (303:303:303) (350:350:350))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~26)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (745:745:745) (684:684:684))
+ (PORT datab (538:538:538) (505:505:505))
+ (PORT datac (243:243:243) (274:274:274))
+ (PORT datad (789:789:789) (710:710:710))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~27)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (503:503:503) (488:488:488))
+ (PORT datab (495:495:495) (487:487:487))
+ (PORT datac (235:235:235) (261:261:261))
+ (PORT datad (291:291:291) (318:318:318))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1913:1913:1913) (1887:1887:1887))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[10\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (943:943:943) (940:940:940))
+ (PORT datab (602:602:602) (612:612:612))
+ (PORT datac (815:815:815) (783:783:783))
+ (PORT datad (306:306:306) (353:353:353))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (993:993:993) (1012:1012:1012))
+ (PORT datab (958:958:958) (963:963:963))
+ (PORT datac (891:891:891) (886:886:886))
+ (PORT datad (921:921:921) (931:931:931))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (996:996:996) (1015:1015:1015))
+ (PORT datab (963:963:963) (968:968:968))
+ (PORT datac (884:884:884) (879:879:879))
+ (PORT datad (922:922:922) (932:932:932))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (996:996:996) (1015:1015:1015))
+ (PORT datab (962:962:962) (967:967:967))
+ (PORT datac (885:885:885) (880:880:880))
+ (PORT datad (922:922:922) (932:932:932))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (404:404:404) (501:501:501))
+ (PORT datab (378:378:378) (468:468:468))
+ (PORT datac (333:333:333) (420:420:420))
+ (PORT datad (335:335:335) (412:412:412))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (432:432:432) (433:433:433))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (401:401:401) (498:498:498))
+ (PORT datab (373:373:373) (461:461:461))
+ (PORT datac (336:336:336) (424:424:424))
+ (PORT datad (338:338:338) (415:415:415))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (994:994:994) (1013:1013:1013))
+ (PORT datab (960:960:960) (964:964:964))
+ (PORT datac (888:888:888) (884:884:884))
+ (PORT datad (922:922:922) (931:931:931))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (973:973:973) (983:983:983))
+ (PORT datab (276:276:276) (301:301:301))
+ (PORT datac (236:236:236) (262:262:262))
+ (PORT datad (320:320:320) (390:390:390))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add5\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (559:559:559) (592:592:592))
+ (PORT datab (602:602:602) (612:612:612))
+ (PORT datac (237:237:237) (263:263:263))
+ (PORT datad (776:776:776) (756:756:756))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT asdata (1416:1416:1416) (1422:1422:1422))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (948:948:948) (963:963:963))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (892:892:892) (851:851:851))
+ (PORT datab (874:874:874) (870:870:870))
+ (PORT datad (492:492:492) (461:461:461))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1851:1851:1851) (1866:1866:1866))
+ (PORT asdata (1863:1863:1863) (1834:1834:1834))
+ (PORT clrn (1895:1895:1895) (1871:1871:1871))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1175:1175:1175) (1094:1094:1094))
+ (PORT datab (1340:1340:1340) (1274:1274:1274))
+ (PORT datad (809:809:809) (785:785:785))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (596:596:596) (635:635:635))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (597:597:597) (631:631:631))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (549:549:549) (578:578:578))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (597:597:597) (632:632:632))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (550:550:550) (580:580:580))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (607:607:607) (589:589:589))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (876:876:876) (813:813:813))
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (959:959:959) (964:964:964))
+ (PORT datac (947:947:947) (961:961:961))
+ (PORT datad (922:922:922) (931:931:931))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (282:282:282) (315:315:315))
+ (PORT datab (959:959:959) (942:942:942))
+ (PORT datac (873:873:873) (833:833:833))
+ (PORT datad (239:239:239) (258:258:258))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (874:874:874) (835:835:835))
+ (PORT datab (897:897:897) (836:836:836))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (895:895:895) (830:830:830))
+ (PORT datab (877:877:877) (816:816:816))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (769:769:769) (705:705:705))
+ (PORT datab (545:545:545) (510:510:510))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (737:737:737) (667:667:667))
+ (PORT datab (485:485:485) (466:466:466))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (861:861:861) (819:819:819))
+ (PORT datad (445:445:445) (419:419:419))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1884:1884:1884) (1857:1857:1857))
+ (PORT sclr (1881:1881:1881) (1989:1989:1989))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1884:1884:1884) (1857:1857:1857))
+ (PORT sclr (1881:1881:1881) (1989:1989:1989))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (361:361:361) (444:444:444))
+ (PORT datab (360:360:360) (436:436:436))
+ (PORT datac (318:318:318) (396:396:396))
+ (PORT datad (319:319:319) (389:389:389))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (287:287:287) (315:315:315))
+ (PORT datad (328:328:328) (405:405:405))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (310:310:310))
+ (PORT datab (277:277:277) (302:302:302))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1884:1884:1884) (1857:1857:1857))
+ (PORT sclr (1881:1881:1881) (1989:1989:1989))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (280:280:280) (313:313:313))
+ (PORT datab (986:986:986) (926:926:926))
+ (PORT datac (491:491:491) (465:465:465))
+ (PORT datad (1138:1138:1138) (1063:1063:1063))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (311:311:311))
+ (PORT datab (275:275:275) (300:300:300))
+ (PORT datac (445:445:445) (428:428:428))
+ (PORT datad (1139:1139:1139) (1063:1063:1063))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1884:1884:1884) (1857:1857:1857))
+ (PORT sclr (1881:1881:1881) (1989:1989:1989))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (602:602:602) (583:583:583))
+ (PORT datab (1701:1701:1701) (1612:1612:1612))
+ (PORT datac (873:873:873) (832:832:832))
+ (PORT datad (237:237:237) (255:255:255))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (927:927:927) (872:872:872))
+ (PORT datab (279:279:279) (304:304:304))
+ (PORT datac (236:236:236) (262:262:262))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1884:1884:1884) (1857:1857:1857))
+ (PORT sclr (1881:1881:1881) (1989:1989:1989))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (899:899:899) (839:839:839))
+ (PORT datab (368:368:368) (447:447:447))
+ (PORT datac (968:968:968) (960:960:960))
+ (PORT datad (243:243:243) (267:267:267))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (432:432:432) (433:433:433))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (389:389:389) (493:493:493))
+ (PORT datab (330:330:330) (371:371:371))
+ (PORT datad (896:896:896) (858:858:858))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (465:465:465) (432:432:432))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1383:1383:1383) (1380:1380:1380))
+ (PORT clrn (1901:1901:1901) (1876:1876:1876))
+ (PORT sload (1666:1666:1666) (1746:1746:1746))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (340:340:340) (424:424:424))
+ (PORT datab (346:346:346) (430:430:430))
+ (PORT datad (1560:1560:1560) (1496:1496:1496))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1606:1606:1606) (1554:1554:1554))
+ (PORT datab (346:346:346) (430:430:430))
+ (PORT datac (506:506:506) (529:529:529))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1383:1383:1383) (1379:1379:1379))
+ (PORT clrn (1901:1901:1901) (1876:1876:1876))
+ (PORT sload (1666:1666:1666) (1746:1746:1746))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (341:341:341) (426:426:426))
+ (PORT datab (340:340:340) (418:418:418))
+ (PORT datad (1564:1564:1564) (1501:1501:1501))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1798:1798:1798) (1784:1784:1784))
+ (PORT D (1468:1468:1468) (1420:1420:1420))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1798:1798:1798) (1784:1784:1784))
+ (PORT d (1570:1570:1570) (1533:1533:1533))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1971:1971:1971) (1979:1979:1979))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1192:1192:1192) (1083:1083:1083))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2352:2352:2352) (2206:2206:2206))
+ (PORT clrn (1886:1886:1886) (1858:1858:1858))
+ (PORT sload (1736:1736:1736) (1783:1783:1783))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (380:380:380) (476:476:476))
+ (PORT datab (285:285:285) (316:316:316))
+ (PORT datac (339:339:339) (429:429:429))
+ (PORT datad (580:580:580) (623:623:623))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg1)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (872:872:872) (857:857:857))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1193:1193:1193) (1107:1107:1107))
+ (PORT datab (374:374:374) (455:455:455))
+ (PORT datac (1909:1909:1909) (1807:1807:1807))
+ (PORT datad (1247:1247:1247) (1225:1225:1225))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1349:1349:1349) (1328:1328:1328))
+ (PORT datad (832:832:832) (799:799:799))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (439:439:439))
+ (PORT datab (1347:1347:1347) (1326:1326:1326))
+ (PORT datad (297:297:297) (367:367:367))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (439:439:439))
+ (PORT datab (337:337:337) (413:413:413))
+ (PORT datad (1286:1286:1286) (1277:1277:1277))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (983:983:983) (961:961:961))
+ (PORT datab (337:337:337) (414:414:414))
+ (PORT datad (1288:1288:1288) (1280:1280:1280))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (338:338:338) (416:416:416))
+ (PORT datac (298:298:298) (376:376:376))
+ (PORT datad (1285:1285:1285) (1276:1276:1276))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~37)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (616:616:616) (606:606:606))
+ (PORT datab (285:285:285) (316:316:316))
+ (PORT datac (765:765:765) (693:693:693))
+ (PORT datad (816:816:816) (768:768:768))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (614:614:614) (602:602:602))
+ (PORT datac (498:498:498) (479:479:479))
+ (PORT datad (798:798:798) (748:748:748))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~33)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (284:284:284) (315:315:315))
+ (PORT datac (718:718:718) (651:651:651))
+ (PORT datad (254:254:254) (286:286:286))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[13\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1913:1913:1913) (1887:1887:1887))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~32)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (555:555:555) (523:523:523))
+ (PORT datab (284:284:284) (315:315:315))
+ (PORT datac (719:719:719) (657:657:657))
+ (PORT datad (291:291:291) (318:318:318))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[15\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1913:1913:1913) (1887:1887:1887))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (572:572:572) (536:536:536))
+ (PORT datab (549:549:549) (581:581:581))
+ (PORT datac (818:818:818) (787:787:787))
+ (PORT datad (542:542:542) (561:561:561))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1153:1153:1153) (1118:1118:1118))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[13\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (942:942:942) (939:939:939))
+ (PORT datab (548:548:548) (580:580:580))
+ (PORT datac (817:817:817) (786:786:786))
+ (PORT datad (305:305:305) (352:352:352))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[12\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (944:944:944) (941:941:941))
+ (PORT datab (600:600:600) (608:608:608))
+ (PORT datac (811:811:811) (779:779:779))
+ (PORT datad (307:307:307) (355:355:355))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1196:1196:1196) (1173:1173:1173))
+ (PORT datac (1166:1166:1166) (1114:1114:1114))
+ (PORT datad (1215:1215:1215) (1164:1164:1164))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1197:1197:1197) (1174:1174:1174))
+ (PORT datad (1216:1216:1216) (1166:1166:1166))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1197:1197:1197) (1173:1173:1173))
+ (PORT datac (1164:1164:1164) (1111:1111:1111))
+ (PORT datad (1216:1216:1216) (1166:1166:1166))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (381:381:381) (479:479:479))
+ (PORT datab (378:378:378) (469:469:469))
+ (PORT datac (335:335:335) (423:423:423))
+ (PORT datad (337:337:337) (414:414:414))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (452:452:452) (426:426:426))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (379:379:379) (476:476:476))
+ (PORT datab (376:376:376) (466:466:466))
+ (PORT datac (335:335:335) (422:422:422))
+ (PORT datad (337:337:337) (413:413:413))
+ (IOPATH dataa combout (461:461:461) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (851:851:851) (817:817:817))
+ (PORT datab (1176:1176:1176) (1064:1064:1064))
+ (PORT datac (1213:1213:1213) (1162:1162:1162))
+ (PORT datad (951:951:951) (941:941:941))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT asdata (1600:1600:1600) (1534:1534:1534))
+ (PORT clrn (1903:1903:1903) (1878:1878:1878))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (668:668:668) (679:679:679))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1197:1197:1197) (1174:1174:1174))
+ (PORT datac (1167:1167:1167) (1116:1116:1116))
+ (PORT datad (1215:1215:1215) (1165:1165:1165))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (858:858:858) (843:843:843))
+ (PORT datab (901:901:901) (871:871:871))
+ (IOPATH dataa combout (453:453:453) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (473:473:473) (489:489:489))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (888:888:888) (874:874:874))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (857:857:857) (844:844:844))
+ (PORT datab (856:856:856) (790:790:790))
+ (PORT datac (779:779:779) (693:693:693))
+ (PORT datad (239:239:239) (258:258:258))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (455:455:455) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (846:846:846) (829:829:829))
+ (PORT datab (855:855:855) (781:781:781))
+ (PORT datad (336:336:336) (420:420:420))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (679:679:679) (695:695:695))
+ (PORT datab (647:647:647) (653:653:653))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (798:798:798) (735:735:735))
+ (PORT datab (821:821:821) (811:811:811))
+ (PORT datac (236:236:236) (262:262:262))
+ (PORT datad (743:743:743) (682:682:682))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (676:676:676) (692:692:692))
+ (PORT datab (652:652:652) (659:659:659))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (947:947:947) (902:902:902))
+ (PORT datab (276:276:276) (301:301:301))
+ (PORT datac (791:791:791) (712:712:712))
+ (PORT datad (241:241:241) (260:260:260))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (488:488:488) (478:478:478))
+ (PORT datab (276:276:276) (301:301:301))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (556:556:556) (518:518:518))
+ (PORT datab (474:474:474) (457:457:457))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1859:1859:1859) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1878:1878:1878))
+ (PORT sclr (1490:1490:1490) (1582:1582:1582))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (360:360:360) (443:443:443))
+ (PORT datab (374:374:374) (460:460:460))
+ (PORT datac (342:342:342) (420:420:420))
+ (PORT datad (327:327:327) (401:401:401))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (808:808:808) (771:771:771))
+ (PORT datad (952:952:952) (941:941:941))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (896:896:896) (836:836:836))
+ (PORT datab (919:919:919) (844:844:844))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1859:1859:1859) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1878:1878:1878))
+ (PORT sclr (1490:1490:1490) (1582:1582:1582))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (935:935:935) (884:884:884))
+ (PORT datab (584:584:584) (604:604:604))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (856:856:856) (840:840:840))
+ (PORT datab (903:903:903) (873:873:873))
+ (IOPATH dataa combout (461:461:461) (486:486:486))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (455:455:455) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (855:855:855) (841:841:841))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (236:236:236) (262:262:262))
+ (PORT datad (798:798:798) (746:746:746))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (540:540:540) (501:501:501))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (824:824:824) (770:770:770))
+ (PORT datad (445:445:445) (418:418:418))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (756:756:756) (689:689:689))
+ (PORT datab (276:276:276) (301:301:301))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1859:1859:1859) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1878:1878:1878))
+ (PORT sclr (1490:1490:1490) (1582:1582:1582))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (848:848:848) (844:844:844))
+ (PORT datab (385:385:385) (462:462:462))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (888:888:888) (874:874:874))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (854:854:854) (840:840:840))
+ (PORT datab (276:276:276) (301:301:301))
+ (PORT datac (482:482:482) (457:457:457))
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (280:280:280) (312:312:312))
+ (PORT datab (540:540:540) (503:503:503))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1859:1859:1859) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1878:1878:1878))
+ (PORT sclr (1490:1490:1490) (1582:1582:1582))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (879:879:879) (849:849:849))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (878:878:878) (847:847:847))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (325:325:325) (366:366:366))
+ (PORT datab (486:486:486) (467:467:467))
+ (PORT datac (777:777:777) (718:718:718))
+ (PORT datad (454:454:454) (434:434:434))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (330:330:330) (404:404:404))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (879:879:879) (848:848:848))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (851:851:851) (837:837:837))
+ (PORT datab (750:750:750) (695:695:695))
+ (PORT datac (442:442:442) (422:422:422))
+ (PORT datad (803:803:803) (751:751:751))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (856:856:856) (843:843:843))
+ (PORT datab (529:529:529) (491:491:491))
+ (PORT datac (236:236:236) (262:262:262))
+ (PORT datad (238:238:238) (257:257:257))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (798:798:798) (716:716:716))
+ (PORT datad (481:481:481) (449:449:449))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1859:1859:1859) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1878:1878:1878))
+ (PORT sclr (1490:1490:1490) (1582:1582:1582))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (310:310:310))
+ (PORT datab (275:275:275) (300:300:300))
+ (PORT datac (509:509:509) (537:537:537))
+ (PORT datad (838:838:838) (798:798:798))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (407:407:407) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (942:942:942) (869:869:869))
+ (PORT datab (656:656:656) (711:711:711))
+ (PORT datad (516:516:516) (502:502:502))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1708:1708:1708) (1644:1644:1644))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (PORT sload (1220:1220:1220) (1194:1194:1194))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (380:380:380) (466:466:466))
+ (PORT datac (1237:1237:1237) (1181:1181:1181))
+ (PORT datad (613:613:613) (666:666:666))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (336:336:336) (412:412:412))
+ (PORT datad (1244:1244:1244) (1209:1209:1209))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (341:341:341) (425:425:425))
+ (PORT datac (298:298:298) (376:376:376))
+ (PORT datad (1250:1250:1250) (1216:1216:1216))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (340:340:340) (424:424:424))
+ (PORT datac (296:296:296) (375:375:375))
+ (PORT datad (1247:1247:1247) (1212:1212:1212))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (339:339:339) (423:423:423))
+ (PORT datab (338:338:338) (415:415:415))
+ (PORT datad (1251:1251:1251) (1217:1217:1217))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (337:337:337) (413:413:413))
+ (PORT datac (512:512:512) (532:532:532))
+ (PORT datad (1251:1251:1251) (1218:1218:1218))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1800:1800:1800) (1786:1786:1786))
+ (PORT D (1434:1434:1434) (1393:1393:1393))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1800:1800:1800) (1786:1786:1786))
+ (PORT d (1925:1925:1925) (1834:1834:1834))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1973:1973:1973) (1981:1981:1981))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1796:1796:1796) (1779:1779:1779))
+ (PORT D (1319:1319:1319) (1457:1457:1457))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1796:1796:1796) (1779:1779:1779))
+ (PORT d (1605:1605:1605) (1802:1802:1802))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1969:1969:1969) (1974:1974:1974))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1798:1798:1798) (1784:1784:1784))
+ (PORT D (1373:1373:1373) (1515:1515:1515))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1798:1798:1798) (1784:1784:1784))
+ (PORT d (1475:1475:1475) (1628:1628:1628))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1971:1971:1971) (1979:1979:1979))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1800:1800:1800) (1786:1786:1786))
+ (PORT D (1346:1346:1346) (1481:1481:1481))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1800:1800:1800) (1786:1786:1786))
+ (PORT d (1776:1776:1776) (1983:1983:1983))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1973:1973:1973) (1981:1981:1981))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+)
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo
index 62b371e..ac285b4 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo
@@ -1,11443 +1,11443 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-// VENDOR "Altera"
-// PROGRAM "Quartus II 64-Bit"
-// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version"
-
-// DATE "06/02/2023 04:17:19"
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This Verilog file should be used for ModelSim (Verilog) only
-//
-
-`timescale 1 ps/ 1 ps
-
-module hdmi_colorbar (
- sys_clk,
- sys_rst_n,
- ddc_scl,
- ddc_sda,
- tmds_clk_p,
- tmds_clk_n,
- tmds_data_p,
- tmds_data_n);
-input sys_clk;
-input sys_rst_n;
-output ddc_scl;
-output ddc_sda;
-output tmds_clk_p;
-output tmds_clk_n;
-output [2:0] tmds_data_p;
-output [2:0] tmds_data_n;
-
-// Design Ports Information
-// ddc_scl => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default
-// ddc_sda => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_clk_p => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_clk_n => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_p[0] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_p[1] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_p[2] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_n[0] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_n[1] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default
-// tmds_data_n[2] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default
-// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
-// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
-
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-tri1 devclrn;
-tri1 devpor;
-tri1 devoe;
-// synopsys translate_off
-initial $sdf_annotate("hdmi_colorbar_min_1200mv_0c_v_fast.sdo");
-// synopsys translate_on
-
-wire \hdmi_ctrl_inst|encode_inst0|Add20~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add20~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~7 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add23~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~7 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~7 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~7 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~7 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add22~6_combout ;
-wire \vga_ctrl_inst|Add0~2_combout ;
-wire \vga_ctrl_inst|Add0~10_combout ;
-wire \vga_ctrl_inst|Add1~2_combout ;
-wire \vga_ctrl_inst|Add1~6_combout ;
-wire \vga_ctrl_inst|Add1~8_combout ;
-wire \vga_ctrl_inst|Add1~10_combout ;
-wire \vga_ctrl_inst|Add1~12_combout ;
-wire \vga_ctrl_inst|Add1~14_combout ;
-wire \vga_ctrl_inst|Add1~16_combout ;
-wire \vga_ctrl_inst|Add1~18_combout ;
-wire \vga_ctrl_inst|Add1~20_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~16_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add12~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~14_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~16_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~10_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~16_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add14~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~3_combout ;
-wire \vga_ctrl_inst|pix_data_req~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~5_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~5_combout ;
-wire \vga_ctrl_inst|pix_x[10]~1_combout ;
-wire \vga_pic_inst|always0~1_combout ;
-wire \vga_pic_inst|always0~2_combout ;
-wire \vga_pic_inst|pix_data[9]~14_combout ;
-wire \vga_pic_inst|pix_data~16_combout ;
-wire \vga_ctrl_inst|pix_data_req~8_combout ;
-wire \vga_ctrl_inst|cnt_v[10]~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ;
-wire \vga_pic_inst|LessThan10~0_combout ;
-wire \vga_pic_inst|pix_data~22_combout ;
-wire \vga_pic_inst|pix_data~23_combout ;
-wire \vga_pic_inst|LessThan14~1_combout ;
-wire \vga_pic_inst|pix_data[13]~24_combout ;
-wire \vga_pic_inst|pix_data~25_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~5_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~8_combout ;
-wire \vga_pic_inst|pix_data~37_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ;
-wire \sys_clk~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~0_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
-wire \sys_rst_n~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
-wire \rst_n~0_combout ;
-wire \rst_n~0clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~1 ;
-wire \vga_ctrl_inst|Add0~3 ;
-wire \vga_ctrl_inst|Add0~5 ;
-wire \vga_ctrl_inst|Add0~6_combout ;
-wire \vga_ctrl_inst|Add0~7 ;
-wire \vga_ctrl_inst|Add0~8_combout ;
-wire \vga_ctrl_inst|Add0~9 ;
-wire \vga_ctrl_inst|Add0~11 ;
-wire \vga_ctrl_inst|Add0~12_combout ;
-wire \vga_ctrl_inst|Add0~13 ;
-wire \vga_ctrl_inst|Add0~14_combout ;
-wire \vga_ctrl_inst|Add0~4_combout ;
-wire \vga_ctrl_inst|Equal0~0_combout ;
-wire \vga_ctrl_inst|Add0~15 ;
-wire \vga_ctrl_inst|Add0~16_combout ;
-wire \vga_ctrl_inst|Add0~19 ;
-wire \vga_ctrl_inst|Add0~20_combout ;
-wire \vga_ctrl_inst|Add0~21 ;
-wire \vga_ctrl_inst|Add0~22_combout ;
-wire \vga_ctrl_inst|Equal0~1_combout ;
-wire \vga_ctrl_inst|cnt_h~0_combout ;
-wire \vga_ctrl_inst|Equal0~2_combout ;
-wire \vga_ctrl_inst|cnt_h~2_combout ;
-wire \vga_ctrl_inst|Add0~17 ;
-wire \vga_ctrl_inst|Add0~18_combout ;
-wire \vga_ctrl_inst|cnt_h~1_combout ;
-wire \vga_ctrl_inst|LessThan4~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ;
-wire \vga_ctrl_inst|Add2~1_cout ;
-wire \vga_ctrl_inst|Add2~3_cout ;
-wire \vga_ctrl_inst|Add2~5_cout ;
-wire \vga_ctrl_inst|Add2~7_cout ;
-wire \vga_ctrl_inst|Add2~9_cout ;
-wire \vga_ctrl_inst|Add2~11 ;
-wire \vga_ctrl_inst|Add2~13 ;
-wire \vga_ctrl_inst|Add2~15 ;
-wire \vga_ctrl_inst|Add2~17 ;
-wire \vga_ctrl_inst|Add2~18_combout ;
-wire \vga_ctrl_inst|Add2~16_combout ;
-wire \vga_ctrl_inst|Add2~14_combout ;
-wire \vga_pic_inst|pix_data~12_combout ;
-wire \vga_ctrl_inst|Add2~12_combout ;
-wire \vga_ctrl_inst|pix_data_req~5_combout ;
-wire \vga_ctrl_inst|Equal0~3_combout ;
-wire \vga_ctrl_inst|cnt_v[7]~7_combout ;
-wire \vga_ctrl_inst|cnt_v[5]~10_combout ;
-wire \vga_ctrl_inst|cnt_v[8]~6_combout ;
-wire \vga_ctrl_inst|always1~0_combout ;
-wire \vga_ctrl_inst|cnt_v[1]~1_combout ;
-wire \vga_ctrl_inst|cnt_v[4]~5_combout ;
-wire \vga_ctrl_inst|always1~1_combout ;
-wire \vga_ctrl_inst|Add1~0_combout ;
-wire \vga_ctrl_inst|cnt_v[0]~2_combout ;
-wire \vga_ctrl_inst|cnt_v[3]~3_combout ;
-wire \vga_ctrl_inst|always1~2_combout ;
-wire \vga_ctrl_inst|cnt_v[11]~0_combout ;
-wire \vga_ctrl_inst|cnt_v[9]~9_combout ;
-wire \vga_ctrl_inst|cnt_v[6]~8_combout ;
-wire \vga_ctrl_inst|Add1~1 ;
-wire \vga_ctrl_inst|Add1~3 ;
-wire \vga_ctrl_inst|Add1~4_combout ;
-wire \vga_ctrl_inst|cnt_v[2]~4_combout ;
-wire \vga_ctrl_inst|Add1~5 ;
-wire \vga_ctrl_inst|Add1~7 ;
-wire \vga_ctrl_inst|Add1~9 ;
-wire \vga_ctrl_inst|Add1~11 ;
-wire \vga_ctrl_inst|Add1~13 ;
-wire \vga_ctrl_inst|Add1~15 ;
-wire \vga_ctrl_inst|Add1~17 ;
-wire \vga_ctrl_inst|Add1~19 ;
-wire \vga_ctrl_inst|Add1~21 ;
-wire \vga_ctrl_inst|Add1~22_combout ;
-wire \vga_ctrl_inst|cnt_v[11]~11_combout ;
-wire \vga_ctrl_inst|pix_data_req~2_combout ;
-wire \vga_ctrl_inst|pix_data_req~4_combout ;
-wire \vga_ctrl_inst|pix_data_req~6_combout ;
-wire \vga_ctrl_inst|pix_data_req~7_combout ;
-wire \vga_pic_inst|pix_data[13]~11_combout ;
-wire \vga_pic_inst|always0~0_combout ;
-wire \vga_pic_inst|pix_data~13_combout ;
-wire \vga_pic_inst|pix_data~17_combout ;
-wire \vga_pic_inst|pix_data~34_combout ;
-wire \vga_pic_inst|pix_data[13]~8_combout ;
-wire \vga_pic_inst|pix_data[13]~9_combout ;
-wire \vga_pic_inst|pix_data[13]~10_combout ;
-wire \vga_pic_inst|pix_data~18_combout ;
-wire \vga_ctrl_inst|Add2~19 ;
-wire \vga_ctrl_inst|Add2~20_combout ;
-wire \vga_ctrl_inst|Add2~10_combout ;
-wire \vga_pic_inst|LessThan17~4_combout ;
-wire \vga_pic_inst|LessThan17~3_combout ;
-wire \vga_pic_inst|LessThan14~0_combout ;
-wire \vga_pic_inst|pix_data~19_combout ;
-wire \vga_pic_inst|pix_data~20_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add6~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ;
-wire \vga_ctrl_inst|LessThan6~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~1_combout ;
-wire \vga_ctrl_inst|rgb[1]~0_combout ;
-wire \vga_ctrl_inst|rgb[2]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add14~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~1 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~3 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~5 ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~10_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add19~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add12~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add22~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add14~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~14_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add15~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add17~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add4~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|de_reg1~q ;
-wire \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|de_reg2~q ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_2~combout ;
-wire \hdmi_ctrl_inst|encode_inst0|Add16~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ;
-wire \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ;
-wire \vga_ctrl_inst|LessThan0~0_combout ;
-wire \vga_ctrl_inst|LessThan0~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c0_reg1~q ;
-wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~q ;
-wire \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ;
-wire \vga_ctrl_inst|LessThan1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c1_reg1~q ;
-wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~q ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~7_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst0|data_out~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ;
-wire \vga_pic_inst|LessThan17~2_combout ;
-wire \vga_pic_inst|pix_data[9]~15_combout ;
-wire \vga_pic_inst|pix_data~35_combout ;
-wire \vga_pic_inst|pix_data~36_combout ;
-wire \vga_pic_inst|pix_data~21_combout ;
-wire \vga_pic_inst|pix_data~26_combout ;
-wire \vga_ctrl_inst|pix_x[11]~0_combout ;
-wire \vga_pic_inst|pix_data~27_combout ;
-wire \vga_ctrl_inst|rgb[10]~2_combout ;
-wire \vga_pic_inst|pix_data~29_combout ;
-wire \vga_pic_inst|pix_data~30_combout ;
-wire \vga_pic_inst|pix_data~31_combout ;
-wire \vga_ctrl_inst|rgb[6]~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add5~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add14~1_combout ;
-wire \vga_pic_inst|pix_data~28_combout ;
-wire \vga_ctrl_inst|rgb[7]~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add13~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add13~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add19~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add14~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add14~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add17~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~10_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add15~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add20~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add23~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_2~combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~12_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~1 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~3 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~5 ;
-wire \hdmi_ctrl_inst|encode_inst1|Add22~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|Add16~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ;
-wire \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~3_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst1|data_out~7_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ;
-wire \vga_pic_inst|pix_data~33_combout ;
-wire \vga_ctrl_inst|rgb[13]~6_combout ;
-wire \vga_pic_inst|pix_data~32_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add6~0_combout ;
-wire \vga_ctrl_inst|rgb[12]~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add12~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add14~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add12~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~5_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_2~combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~14_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add15~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~7_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~1 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~7 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add17~8_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add23~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~3 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~5 ;
-wire \hdmi_ctrl_inst|encode_inst2|Add20~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|Add16~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ;
-wire \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~4_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out~7_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ;
-wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ;
-wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ;
-wire [9:0] \hdmi_ctrl_inst|encode_inst0|data_out ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [11:0] \vga_ctrl_inst|cnt_v ;
-wire [11:0] \vga_ctrl_inst|cnt_h ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s ;
-wire [7:0] \hdmi_ctrl_inst|encode_inst0|data_in_reg ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [8:0] \hdmi_ctrl_inst|encode_inst0|q_m_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n1 ;
-wire [15:0] \vga_pic_inst|pix_data ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s ;
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst0|data_in_n1 ;
-wire [2:0] \hdmi_ctrl_inst|par_to_ser_inst0|cnt ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n0 ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [4:0] \hdmi_ctrl_inst|encode_inst0|cnt ;
-wire [4:0] \hdmi_ctrl_inst|encode_inst1|cnt ;
-wire [9:0] \hdmi_ctrl_inst|encode_inst1|data_out ;
-wire [8:0] \hdmi_ctrl_inst|encode_inst1|q_m_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n0 ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n1 ;
-wire [7:0] \hdmi_ctrl_inst|encode_inst1|data_in_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst1|data_in_n1 ;
-wire [4:0] \hdmi_ctrl_inst|encode_inst2|cnt ;
-wire [9:0] \hdmi_ctrl_inst|encode_inst2|data_out ;
-wire [8:0] \hdmi_ctrl_inst|encode_inst2|q_m_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n0 ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n1 ;
-wire [7:0] \hdmi_ctrl_inst|encode_inst2|data_in_reg ;
-wire [3:0] \hdmi_ctrl_inst|encode_inst2|data_in_n1 ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s ;
-wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s ;
-wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
-
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
-
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
-
-// Location: PLL_2
-cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
- .areset(!\sys_rst_n~input_o ),
- .pfdena(vcc),
- .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .phaseupdown(gnd),
- .phasestep(gnd),
- .scandata(gnd),
- .scanclk(gnd),
- .scanclkena(vcc),
- .configupdate(gnd),
- .clkswitch(gnd),
- .inclk({gnd,\sys_clk~input_o }),
- .phasecounterselect(3'b000),
- .phasedone(),
- .scandataout(),
- .scandone(),
- .activeclock(),
- .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .vcooverrange(),
- .vcounderrange(),
- .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
- .clkbad());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 10;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 10;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "even";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 5;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 10;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 3334;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 250;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N7
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N9
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N13
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add20~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .lut_mask = 16'h66BB;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// ((\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add20~1 ))))
-// \hdmi_ctrl_inst|encode_inst0|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add20~1 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add20~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add20~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .lut_mask = 16'h692B;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add20~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add20~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add20~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add20~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add20~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add17~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst0|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst0|Add17~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add17~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .lut_mask = 16'h3C3F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add17~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~7 )
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add17~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .lut_mask = 16'hC3C3;
-defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add23~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .lut_mask = 16'h66DD;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// (\hdmi_ctrl_inst|encode_inst0|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
-// \hdmi_ctrl_inst|encode_inst0|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
-// ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add23~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add23~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .lut_mask = 16'h694D;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add23~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst0|Add23~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add23~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add23~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .lut_mask = 16'h5A05;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add23~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add23~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add15~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst0|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst0|Add15~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] &
-// (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add15~5
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] &
-// ((!\hdmi_ctrl_inst|encode_inst0|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add15~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add15~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~7 )
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add15~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .lut_mask = 16'hC3C3;
-defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add19~3 & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add19~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add19~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add19~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .lut_mask = 16'hA50A;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add22~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add22~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add22~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout &
-// (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout &
-// ((!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~12_combout &
-// (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout &
-// (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout &
-// ((!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N31
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add20~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .lut_mask = 16'h66BB;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add20~1 ))))
-// \hdmi_ctrl_inst|encode_inst1|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add20~1 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add20~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add20~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .lut_mask = 16'h692B;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add20~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add20~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add20~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .lut_mask = 16'h3CCF;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add20~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add20~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add17~1 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add17~1 )
-// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1
-// [2] & !\hdmi_ctrl_inst|encode_inst1|Add17~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add17~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add17~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~7 )
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add17~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .lut_mask = 16'hA5A5;
-defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add23~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .lut_mask = 16'h66DD;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add23~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add23~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add23~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add23~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .lut_mask = 16'h3C03;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add23~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add23~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (\hdmi_ctrl_inst|encode_inst1|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|Add15~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & !\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst1|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add15~5 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((!\hdmi_ctrl_inst|encode_inst1|Add15~5 )
-// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~7 )
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .lut_mask = 16'hA5A5;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst1|cnt [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add19~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add19~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add19~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add19~3 & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add19~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add19~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add19~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .lut_mask = 16'hA50A;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add19~6_combout = \hdmi_ctrl_inst|encode_inst1|Add19~5 $ (\hdmi_ctrl_inst|encode_inst1|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add19~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC)))
-// \hdmi_ctrl_inst|encode_inst1|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst1|cnt [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add22~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .lut_mask = 16'h99EE;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
-// \hdmi_ctrl_inst|encode_inst1|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add22~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add22~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .lut_mask = 16'hA505;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add22~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst1|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add22~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add22~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add20~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .lut_mask = 16'h66BB;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add20~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add20~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add20~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .lut_mask = 16'h3CCF;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [0] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add17~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst2|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add17~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst2|Add17~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add17~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add23~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .lut_mask = 16'h66DD;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add23~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst2|Add23~3 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add23~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add23~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .lut_mask = 16'h3C03;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add15~1
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] &
-// ((!\hdmi_ctrl_inst|encode_inst2|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add15~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0
-// [2] & !\hdmi_ctrl_inst|encode_inst2|Add15~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add15~5
-// ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] &
-// ((!\hdmi_ctrl_inst|encode_inst2|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~7 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~8_combout = \hdmi_ctrl_inst|encode_inst2|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add15~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add19~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add19~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .lut_mask = 16'h3C3F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add19~3 & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add19~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add19~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add19~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .lut_mask = 16'hA50A;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~6_combout = \hdmi_ctrl_inst|encode_inst2|Add19~5 $ (\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add19~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC)))
-// \hdmi_ctrl_inst|encode_inst2|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1]) # (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add22~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .lut_mask = 16'h99EE;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
-// \hdmi_ctrl_inst|encode_inst2|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|cnt [2] & !\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add22~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add22~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .lut_mask = 16'hC303;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add22~3 $ (GND)))
-// \hdmi_ctrl_inst|encode_inst2|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add22~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add22~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .lut_mask = 16'h5AAF;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add22~6_combout = \hdmi_ctrl_inst|encode_inst2|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add22~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N11
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y22_N19
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
-// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~1 ),
- .combout(\vga_ctrl_inst|Add0~2_combout ),
- .cout(\vga_ctrl_inst|Add0~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
-// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
-
- .dataa(\vga_ctrl_inst|cnt_h [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~9 ),
- .combout(\vga_ctrl_inst|Add0~10_combout ),
- .cout(\vga_ctrl_inst|Add0~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
-// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~1 ),
- .combout(\vga_ctrl_inst|Add1~2_combout ),
- .cout(\vga_ctrl_inst|Add1~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
-// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
-
- .dataa(\vga_ctrl_inst|cnt_v [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~5 ),
- .combout(\vga_ctrl_inst|Add1~6_combout ),
- .cout(\vga_ctrl_inst|Add1~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
-// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~7 ),
- .combout(\vga_ctrl_inst|Add1~8_combout ),
- .cout(\vga_ctrl_inst|Add1~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
-// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
-
- .dataa(\vga_ctrl_inst|cnt_v [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~9 ),
- .combout(\vga_ctrl_inst|Add1~10_combout ),
- .cout(\vga_ctrl_inst|Add1~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
-// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~11 ),
- .combout(\vga_ctrl_inst|Add1~12_combout ),
- .cout(\vga_ctrl_inst|Add1~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
-// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
-
- .dataa(\vga_ctrl_inst|cnt_v [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~13 ),
- .combout(\vga_ctrl_inst|Add1~14_combout ),
- .cout(\vga_ctrl_inst|Add1~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
-// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~15 ),
- .combout(\vga_ctrl_inst|Add1~16_combout ),
- .cout(\vga_ctrl_inst|Add1~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~18_combout = (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|Add1~17 )) # (!\vga_ctrl_inst|cnt_v [9] & ((\vga_ctrl_inst|Add1~17 ) # (GND)))
-// \vga_ctrl_inst|Add1~19 = CARRY((!\vga_ctrl_inst|Add1~17 ) # (!\vga_ctrl_inst|cnt_v [9]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~17 ),
- .combout(\vga_ctrl_inst|Add1~18_combout ),
- .cout(\vga_ctrl_inst|Add1~19 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~20 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~20_combout = (\vga_ctrl_inst|cnt_v [10] & (\vga_ctrl_inst|Add1~19 $ (GND))) # (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|Add1~19 & VCC))
-// \vga_ctrl_inst|Add1~21 = CARRY((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Add1~19 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [10]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~19 ),
- .combout(\vga_ctrl_inst|Add1~20_combout ),
- .cout(\vga_ctrl_inst|Add1~21 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~20 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~20 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N11
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .lut_mask = 16'h00F0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N15
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .lut_mask = 16'h0A8E;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|cnt [0])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N27
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N19
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .lut_mask = 16'h4F04;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N31
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]) # (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .lut_mask = 16'hFFF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .lut_mask = 16'hCCE2;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~0_combout
-// & (((\hdmi_ctrl_inst|encode_inst0|Add20~6_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .lut_mask = 16'hD8AA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .lut_mask = 16'hF2C2;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~3_combout
-// & (\hdmi_ctrl_inst|encode_inst0|Add20~4_combout & ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ))) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .lut_mask = 16'h3210;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst0|Add20~2_combout )) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .lut_mask = 16'hFA0C;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~7_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~7_combout
-// & (((\hdmi_ctrl_inst|encode_inst0|Add17~4_combout & \hdmi_ctrl_inst|encode_inst0|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .lut_mask = 16'hD8AA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ) # ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add23~0_combout & !\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .lut_mask = 16'hF0AC;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~12 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst0|Add20~0_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .lut_mask = 16'hD8AA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~16 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|cnt [0])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .lut_mask = 16'h5F0A;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .lut_mask = 16'h0F00;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add12~1_combout = (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] & \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .lut_mask = 16'h3300;
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N7
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~2_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .lut_mask = 16'h995A;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .lut_mask = 16'hACAC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .lut_mask = 16'hAAE4;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~0_combout
-// & (((\hdmi_ctrl_inst|encode_inst1|Add20~6_combout & \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .lut_mask = 16'hACF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~5_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~4_combout & (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .lut_mask = 16'hA4AE;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .lut_mask = 16'hF8F8;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .lut_mask = 16'hAF44;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & (((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~7_combout &
-// (\hdmi_ctrl_inst|encode_inst1|Add19~2_combout & (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .lut_mask = 16'h2CEC;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~14 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .lut_mask = 16'hE2CC;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~16 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|cnt [0])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .lut_mask = 16'h3F0C;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .lut_mask = 16'h00AA;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N31
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N1
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~2_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [2] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .lut_mask = 16'hA53C;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add19~6_combout )) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .lut_mask = 16'hFAFC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~4_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .lut_mask = 16'hE3E0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~3_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~3_combout
-// & (((\hdmi_ctrl_inst|encode_inst2|Add20~4_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .lut_mask = 16'hACF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2])))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add22~2_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .lut_mask = 16'hAA4E;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~10 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & (((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~9_combout &
-// (\hdmi_ctrl_inst|encode_inst2|Add19~2_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .lut_mask = 16'h3AF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~12 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (\hdmi_ctrl_inst|encode_inst2|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add22~0_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .lut_mask = 16'hAA72;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~16 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|cnt [0]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .lut_mask = 16'h0FCC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .lut_mask = 16'hC00C;
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N25
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~2_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .lut_mask = 16'h939C;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .lut_mask = 16'hF303;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|cnt_v [8])))
-
- .dataa(\vga_ctrl_inst|cnt_v [9]),
- .datab(\vga_ctrl_inst|cnt_v [7]),
- .datac(\vga_ctrl_inst|cnt_v [6]),
- .datad(\vga_ctrl_inst|cnt_v [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N31
-dffeas \vga_ctrl_inst|cnt_v[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[10]~12_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[10] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9]))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|always1~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'hA200;
-defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~5_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .lut_mask = 16'h995A;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N19
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N31
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N27
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [7])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N21
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~4_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .lut_mask = 16'hA53C;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N9
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~5_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .lut_mask = 16'hC366;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N11
-dffeas \vga_ctrl_inst|cnt_h[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|pix_x[10]~1 (
-// Equation(s):
-// \vga_ctrl_inst|pix_x[10]~1_combout = (\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~18_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_x[10]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_x[10]~1 .lut_mask = 16'hFF0F;
-defparam \vga_ctrl_inst|pix_x[10]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N10
-cycloneive_lcell_comb \vga_pic_inst|always0~1 (
-// Equation(s):
-// \vga_pic_inst|always0~1_combout = (\vga_ctrl_inst|Add2~14_combout ) # ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|always0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|always0~1 .lut_mask = 16'hFFAF;
-defparam \vga_pic_inst|always0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N12
-cycloneive_lcell_comb \vga_pic_inst|always0~2 (
-// Equation(s):
-// \vga_pic_inst|always0~2_combout = (\vga_pic_inst|always0~1_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_pic_inst|LessThan17~2_combout )))
-
- .dataa(\vga_pic_inst|always0~1_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|LessThan17~2_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|always0~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|always0~2 .lut_mask = 16'hFFFE;
-defparam \vga_pic_inst|always0~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N6
-cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~14 (
-// Equation(s):
-// \vga_pic_inst|pix_data[9]~14_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~14_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[9]~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9]~14 .lut_mask = 16'h00F0;
-defparam \vga_pic_inst|pix_data[9]~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
-// Equation(s):
-// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & \vga_pic_inst|pix_data[13]~9_combout )))
-
- .dataa(\vga_pic_inst|always0~2_combout ),
- .datab(\vga_pic_inst|pix_data[9]~15_combout ),
- .datac(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .datad(\vga_pic_inst|pix_data[13]~9_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'h0200;
-defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~8 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~8_combout = (!\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|cnt_v [10])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [11]),
- .datac(\vga_ctrl_inst|cnt_v [10]),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~8 .lut_mask = 16'h0303;
-defparam \vga_ctrl_inst|pix_data_req~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[10]~12 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[10]~12_combout = (\vga_ctrl_inst|Add1~20_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [10])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~20_combout & (!\vga_ctrl_inst|Equal0~3_combout
-// & (\vga_ctrl_inst|cnt_v [10])))
-
- .dataa(\vga_ctrl_inst|Add1~20_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [10]),
- .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[10]~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[10]~12 .lut_mask = 16'h30BA;
-defparam \vga_ctrl_inst|cnt_v[10]~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .lut_mask = 16'h3C3C;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N23
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .lut_mask = 16'hA0A0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N22
-cycloneive_lcell_comb \vga_pic_inst|LessThan10~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan10~0_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|LessThan17~2_combout ) # (!\vga_ctrl_inst|Add2~14_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_pic_inst|LessThan17~2_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan10~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan10~0 .lut_mask = 16'h080A;
-defparam \vga_pic_inst|LessThan10~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
-// Equation(s):
-// \vga_pic_inst|pix_data~22_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h00F0;
-defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
-// Equation(s):
-// \vga_pic_inst|pix_data~23_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_pic_inst|pix_data~22_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_pic_inst|pix_data~22_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~23_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'h0400;
-defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N20
-cycloneive_lcell_comb \vga_pic_inst|LessThan14~1 (
-// Equation(s):
-// \vga_pic_inst|LessThan14~1_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan14~1 .lut_mask = 16'hAA00;
-defparam \vga_pic_inst|LessThan14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~24 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~24_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_pic_inst|LessThan14~1_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_pic_inst|LessThan14~1_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~24_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~24 .lut_mask = 16'h0002;
-defparam \vga_pic_inst|pix_data[13]~24 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N8
-cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
-// Equation(s):
-// \vga_pic_inst|pix_data~25_combout = (!\vga_ctrl_inst|pix_x[10]~1_combout & ((\vga_pic_inst|pix_data~23_combout ) # ((\vga_pic_inst|LessThan10~0_combout & !\vga_pic_inst|pix_data[13]~24_combout ))))
-
- .dataa(\vga_pic_inst|LessThan10~0_combout ),
- .datab(\vga_ctrl_inst|pix_x[10]~1_combout ),
- .datac(\vga_pic_inst|pix_data[13]~24_combout ),
- .datad(\vga_pic_inst|pix_data~23_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~25_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h3302;
-defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .lut_mask = 16'hC33C;
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~5_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [7] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .lut_mask = 16'h9A56;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N9
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .lut_mask = 16'hC0C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst2|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .lut_mask = 16'h33CC;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N23
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .lut_mask = 16'h8888;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .lut_mask = 16'h8D8D;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [7] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .lut_mask = 16'hC33C;
-defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~6_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
-// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .lut_mask = 16'hEB41;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .lut_mask = 16'hB1B1;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N14
-cycloneive_lcell_comb \vga_pic_inst|pix_data~37 (
-// Equation(s):
-// \vga_pic_inst|pix_data~37_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~23_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
-
- .dataa(\vga_pic_inst|pix_data~16_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data~23_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~37_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~37 .lut_mask = 16'h7555;
-defparam \vga_pic_inst|pix_data~37 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: CLKCTRL_G8
-cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~2_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~2_combout
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .lut_mask = 16'hAAAA;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~2_combout
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .lut_mask = 16'hAAAA;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~5_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~4_combout
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .lut_mask = 16'hCCCC;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~5_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~5_combout
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .lut_mask = 16'hAAAA;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y13_N16
-cycloneive_io_obuf \ddc_scl~output (
- .i(vcc),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(ddc_scl),
- .obar());
-// synopsys translate_off
-defparam \ddc_scl~output .bus_hold = "false";
-defparam \ddc_scl~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y10_N16
-cycloneive_io_obuf \ddc_sda~output (
- .i(vcc),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(ddc_sda),
- .obar());
-// synopsys translate_off
-defparam \ddc_sda~output .bus_hold = "false";
-defparam \ddc_sda~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y21_N23
-cycloneive_io_obuf \tmds_clk_p~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_clk_p),
- .obar());
-// synopsys translate_off
-defparam \tmds_clk_p~output .bus_hold = "false";
-defparam \tmds_clk_p~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y20_N2
-cycloneive_io_obuf \tmds_clk_n~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_clk_n),
- .obar());
-// synopsys translate_off
-defparam \tmds_clk_n~output .bus_hold = "false";
-defparam \tmds_clk_n~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y22_N16
-cycloneive_io_obuf \tmds_data_p[0]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_p[0]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_p[0]~output .bus_hold = "false";
-defparam \tmds_data_p[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y23_N9
-cycloneive_io_obuf \tmds_data_p[1]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_p[1]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_p[1]~output .bus_hold = "false";
-defparam \tmds_data_p[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y24_N2
-cycloneive_io_obuf \tmds_data_p[2]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_p[2]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_p[2]~output .bus_hold = "false";
-defparam \tmds_data_p[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y22_N23
-cycloneive_io_obuf \tmds_data_n[0]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_n[0]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_n[0]~output .bus_hold = "false";
-defparam \tmds_data_n[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y23_N16
-cycloneive_io_obuf \tmds_data_n[1]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_n[1]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_n[1]~output .bus_hold = "false";
-defparam \tmds_data_n[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y24_N9
-cycloneive_io_obuf \tmds_data_n[2]~output (
- .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(tmds_data_n[2]),
- .obar());
-// synopsys translate_off
-defparam \tmds_data_n[2]~output .bus_hold = "false";
-defparam \tmds_data_n[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .lut_mask = 16'h0303;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] $ (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N19
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] $ (((\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .lut_mask = 16'h3CF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N17
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .lut_mask = 16'h00AA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N31
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) # (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .lut_mask = 16'hFCFC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N5
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .lut_mask = 16'h00F0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N29
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N23
-dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y15_N22
-cycloneive_io_ibuf \sys_clk~input (
- .i(sys_clk),
- .ibar(gnd),
- .o(\sys_clk~input_o ));
-// synopsys translate_off
-defparam \sys_clk~input .bus_hold = "false";
-defparam \sys_clk~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: CLKCTRL_G9
-cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1]}),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock";
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y21_N25
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y20_N4
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
-// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add0~0_combout ),
- .cout(\vga_ctrl_inst|Add0~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
-defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y26_N0
-cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
-// Equation(s):
-// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y4_N1
-cycloneive_io_ibuf \sys_rst_n~input (
- .i(sys_rst_n),
- .ibar(gnd),
- .o(\sys_rst_n~input_o ));
-// synopsys translate_off
-defparam \sys_rst_n~input .bus_hold = "false";
-defparam \sys_rst_n~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: FF_X40_Y26_N1
-dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .asdata(vcc),
- .clrn(\sys_rst_n~input_o ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y26_N18
-cycloneive_lcell_comb \rst_n~0 (
-// Equation(s):
-// \rst_n~0_combout = ((!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\sys_rst_n~input_o )
-
- .dataa(\sys_rst_n~input_o ),
- .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .datac(gnd),
- .datad(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .cin(gnd),
- .combout(\rst_n~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \rst_n~0 .lut_mask = 16'h77FF;
-defparam \rst_n~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: CLKCTRL_G7
-cycloneive_clkctrl \rst_n~0clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\rst_n~0clkctrl_outclk ));
-// synopsys translate_off
-defparam \rst_n~0clkctrl .clock_type = "global clock";
-defparam \rst_n~0clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N9
-dffeas \vga_ctrl_inst|cnt_h[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
-// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~3 ),
- .combout(\vga_ctrl_inst|Add0~4_combout ),
- .cout(\vga_ctrl_inst|Add0~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
-// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~5 ),
- .combout(\vga_ctrl_inst|Add0~6_combout ),
- .cout(\vga_ctrl_inst|Add0~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N15
-dffeas \vga_ctrl_inst|cnt_h[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
-// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~7 ),
- .combout(\vga_ctrl_inst|Add0~8_combout ),
- .cout(\vga_ctrl_inst|Add0~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N17
-dffeas \vga_ctrl_inst|cnt_h[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
-// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~11 ),
- .combout(\vga_ctrl_inst|Add0~12_combout ),
- .cout(\vga_ctrl_inst|Add0~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N21
-dffeas \vga_ctrl_inst|cnt_h[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~12_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
-// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~13 ),
- .combout(\vga_ctrl_inst|Add0~14_combout ),
- .cout(\vga_ctrl_inst|Add0~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N23
-dffeas \vga_ctrl_inst|cnt_h[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~14_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N13
-dffeas \vga_ctrl_inst|cnt_h[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [1] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [2])))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(\vga_ctrl_inst|cnt_h [0]),
- .datad(\vga_ctrl_inst|cnt_h [2]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
-// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~15 ),
- .combout(\vga_ctrl_inst|Add0~16_combout ),
- .cout(\vga_ctrl_inst|Add0~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~18_combout = (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|Add0~17 )) # (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Add0~17 ) # (GND)))
-// \vga_ctrl_inst|Add0~19 = CARRY((!\vga_ctrl_inst|Add0~17 ) # (!\vga_ctrl_inst|cnt_h [9]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [9]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~17 ),
- .combout(\vga_ctrl_inst|Add0~18_combout ),
- .cout(\vga_ctrl_inst|Add0~19 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~20 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~20_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add0~19 $ (GND))) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add0~19 & VCC))
-// \vga_ctrl_inst|Add0~21 = CARRY((\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add0~19 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~19 ),
- .combout(\vga_ctrl_inst|Add0~20_combout ),
- .cout(\vga_ctrl_inst|Add0~21 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~20 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~20 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N29
-dffeas \vga_ctrl_inst|cnt_h[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~20_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[10] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~22 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~22_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add0~21 )
-
- .dataa(\vga_ctrl_inst|cnt_h [11]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\vga_ctrl_inst|Add0~21 ),
- .combout(\vga_ctrl_inst|Add0~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~22 .lut_mask = 16'h5A5A;
-defparam \vga_ctrl_inst|Add0~22 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N31
-dffeas \vga_ctrl_inst|cnt_h[11] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~22_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [11]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[11] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[11] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~1_combout = (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|cnt_h [11] & \vga_ctrl_inst|cnt_h [9])))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(\vga_ctrl_inst|cnt_h [11]),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h0100;
-defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N24
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~1_combout )) # (!\vga_ctrl_inst|Equal0~0_combout )))
-
- .dataa(\vga_ctrl_inst|Add0~10_combout ),
- .datab(\vga_ctrl_inst|Equal0~0_combout ),
- .datac(\vga_ctrl_inst|Equal0~1_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h2AAA;
-defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N25
-dffeas \vga_ctrl_inst|cnt_h[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|cnt_h [5] & (\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6])))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [5]),
- .datac(\vga_ctrl_inst|cnt_h [4]),
- .datad(\vga_ctrl_inst|cnt_h [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0020;
-defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~1_combout ),
- .datab(\vga_ctrl_inst|Add0~16_combout ),
- .datac(\vga_ctrl_inst|Equal0~0_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h4CCC;
-defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N3
-dffeas \vga_ctrl_inst|cnt_h[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~1_combout = (\vga_ctrl_inst|Add0~18_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~1_combout ),
- .datab(\vga_ctrl_inst|Equal0~0_combout ),
- .datac(\vga_ctrl_inst|Add0~18_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h70F0;
-defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y20_N1
-dffeas \vga_ctrl_inst|cnt_h[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan4~0_combout = (!\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [5]),
- .datac(\vga_ctrl_inst|cnt_h [4]),
- .datad(\vga_ctrl_inst|cnt_h [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan4~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h0003;
-defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add4~0_combout = (\vga_ctrl_inst|cnt_h [8] & (((!\vga_ctrl_inst|cnt_h [7] & \vga_ctrl_inst|LessThan4~0_combout )) # (!\vga_ctrl_inst|cnt_h [9]))) # (!\vga_ctrl_inst|cnt_h [8] & ((\vga_ctrl_inst|cnt_h [9]) #
-// ((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|LessThan4~0_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(\vga_ctrl_inst|LessThan4~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .lut_mask = 16'h7A5E;
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~1_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
-defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~1_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~3_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
-defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~3_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~5_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
-defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~5_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~7_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005;
-defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [5]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~7_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~9_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00CF;
-defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
-// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~9_cout ),
- .combout(\vga_ctrl_inst|Add2~10_combout ),
- .cout(\vga_ctrl_inst|Add2~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
-defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
-// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~11 ),
- .combout(\vga_ctrl_inst|Add2~12_combout ),
- .cout(\vga_ctrl_inst|Add2~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
-// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~13 ),
- .combout(\vga_ctrl_inst|Add2~14_combout ),
- .cout(\vga_ctrl_inst|Add2~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505;
-defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~16_combout = (\vga_ctrl_inst|cnt_h [9] & ((GND) # (!\vga_ctrl_inst|Add2~15 ))) # (!\vga_ctrl_inst|cnt_h [9] & (\vga_ctrl_inst|Add2~15 $ (GND)))
-// \vga_ctrl_inst|Add2~17 = CARRY((\vga_ctrl_inst|cnt_h [9]) # (!\vga_ctrl_inst|Add2~15 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [9]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~15 ),
- .combout(\vga_ctrl_inst|Add2~16_combout ),
- .cout(\vga_ctrl_inst|Add2~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5AAF;
-defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~18_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add2~17 & VCC)) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add2~17 ))
-// \vga_ctrl_inst|Add2~19 = CARRY((!\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add2~17 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~17 ),
- .combout(\vga_ctrl_inst|Add2~18_combout ),
- .cout(\vga_ctrl_inst|Add2~19 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~18 .lut_mask = 16'hC303;
-defparam \vga_ctrl_inst|Add2~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
-// Equation(s):
-// \vga_pic_inst|pix_data~12_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'h0020;
-defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~5 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~5_combout = \vga_ctrl_inst|cnt_h [8] $ (\vga_ctrl_inst|cnt_h [9])
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(gnd),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~5 .lut_mask = 16'h5A5A;
-defparam \vga_ctrl_inst|pix_data_req~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N2
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|Equal0~1_combout & (\vga_ctrl_inst|Equal0~0_combout & \vga_ctrl_inst|Equal0~2_combout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Equal0~1_combout ),
- .datac(\vga_ctrl_inst|Equal0~0_combout ),
- .datad(\vga_ctrl_inst|Equal0~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'hC000;
-defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~7 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[7]~7_combout = (\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~14_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[7]~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7]~7 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[7]~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N21
-dffeas \vga_ctrl_inst|cnt_v[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[7]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~10 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[5]~10_combout = (\vga_ctrl_inst|Add1~10_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [5])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~10_combout & (!\vga_ctrl_inst|Equal0~3_combout
-// & (\vga_ctrl_inst|cnt_v [5])))
-
- .dataa(\vga_ctrl_inst|Add1~10_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [5]),
- .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[5]~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5]~10 .lut_mask = 16'h30BA;
-defparam \vga_ctrl_inst|cnt_v[5]~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N27
-dffeas \vga_ctrl_inst|cnt_v[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[5]~10_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N18
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~6 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[8]~6_combout = (\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~16_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [8]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[8]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8]~6 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[8]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N19
-dffeas \vga_ctrl_inst|cnt_v[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[8]~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
-// Equation(s):
-// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [5] & !\vga_ctrl_inst|cnt_v [8])))
-
- .dataa(\vga_ctrl_inst|cnt_v [6]),
- .datab(\vga_ctrl_inst|cnt_v [7]),
- .datac(\vga_ctrl_inst|cnt_v [5]),
- .datad(\vga_ctrl_inst|cnt_v [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N8
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[1]~1_combout = (\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~2_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[1]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1]~1 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[1]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N9
-dffeas \vga_ctrl_inst|cnt_v[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[1]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~5 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[4]~5_combout = (\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~8_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [4]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[4]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4]~5 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[4]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N1
-dffeas \vga_ctrl_inst|cnt_v[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[4]~5_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N24
-cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
-// Equation(s):
-// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|pix_data_req~8_combout & (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|cnt_v [4])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~8_combout ),
- .datab(\vga_ctrl_inst|always1~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0008;
-defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
-// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add1~0_combout ),
- .cout(\vga_ctrl_inst|Add1~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h33CC;
-defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[0]~2_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [0] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~0_combout ) # ((\vga_ctrl_inst|cnt_v [0] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datab(\vga_ctrl_inst|Add1~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [0]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[0]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0]~2 .lut_mask = 16'h44F4;
-defparam \vga_ctrl_inst|cnt_v[0]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N1
-dffeas \vga_ctrl_inst|cnt_v[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[0]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~3 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[3]~3_combout = (\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~6_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [3]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[3]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3]~3 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[3]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N3
-dffeas \vga_ctrl_inst|cnt_v[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[3]~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
-// Equation(s):
-// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [0] & \vga_ctrl_inst|cnt_v [3])))
-
- .dataa(\vga_ctrl_inst|cnt_v [2]),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|cnt_v [0]),
- .datad(\vga_ctrl_inst|cnt_v [3]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0800;
-defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N4
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[11]~0_combout = ((\vga_ctrl_inst|always1~1_combout & \vga_ctrl_inst|always1~2_combout )) # (!\vga_ctrl_inst|Equal0~3_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|always1~1_combout ),
- .datac(\vga_ctrl_inst|always1~2_combout ),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[11]~0 .lut_mask = 16'hC0FF;
-defparam \vga_ctrl_inst|cnt_v[11]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N16
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~9 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[9]~9_combout = (\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~18_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[9]~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9]~9 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[9]~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N17
-dffeas \vga_ctrl_inst|cnt_v[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[9]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N22
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~8 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[6]~8_combout = (\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|Add1~12_combout ),
- .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datac(\vga_ctrl_inst|cnt_v [6]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[6]~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6]~8 .lut_mask = 16'h22F2;
-defparam \vga_ctrl_inst|cnt_v[6]~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N23
-dffeas \vga_ctrl_inst|cnt_v[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[6]~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
-// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~3 ),
- .combout(\vga_ctrl_inst|Add1~4_combout ),
- .cout(\vga_ctrl_inst|Add1~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N12
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~4 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[2]~4_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~4_combout ) # ((\vga_ctrl_inst|cnt_v [2] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datab(\vga_ctrl_inst|Add1~4_combout ),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[2]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2]~4 .lut_mask = 16'h44F4;
-defparam \vga_ctrl_inst|cnt_v[2]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N13
-dffeas \vga_ctrl_inst|cnt_v[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[2]~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~22 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~22_combout = \vga_ctrl_inst|Add1~21 $ (\vga_ctrl_inst|cnt_v [11])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_v [11]),
- .cin(\vga_ctrl_inst|Add1~21 ),
- .combout(\vga_ctrl_inst|Add1~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~22 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add1~22 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~11 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[11]~11_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~22_combout ) # ((\vga_ctrl_inst|cnt_v [11] &
-// !\vga_ctrl_inst|Equal0~3_combout ))))
-
- .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
- .datab(\vga_ctrl_inst|Add1~22_combout ),
- .datac(\vga_ctrl_inst|cnt_v [11]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[11]~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[11]~11 .lut_mask = 16'h44F4;
-defparam \vga_ctrl_inst|cnt_v[11]~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X32_Y20_N29
-dffeas \vga_ctrl_inst|cnt_v[11] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[11]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [11]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[11] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[11] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N10
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~2_combout = (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|cnt_v [11] & (!\vga_ctrl_inst|cnt_h [11] & !\vga_ctrl_inst|cnt_h [10])))
-
- .dataa(\vga_ctrl_inst|cnt_v [10]),
- .datab(\vga_ctrl_inst|cnt_v [11]),
- .datac(\vga_ctrl_inst|cnt_h [11]),
- .datad(\vga_ctrl_inst|cnt_h [10]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~4_combout = (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9])))
-
- .dataa(\vga_ctrl_inst|always1~0_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'hAF00;
-defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N2
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~6 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~6_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Equal0~0_combout ) # (!\vga_ctrl_inst|LessThan4~0_combout )))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~0_combout &
-// (\vga_ctrl_inst|cnt_h [9] & \vga_ctrl_inst|LessThan4~0_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~0_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(\vga_ctrl_inst|LessThan4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~6 .lut_mask = 16'h180C;
-defparam \vga_ctrl_inst|pix_data_req~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~7 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~7_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|pix_data_req~5_combout ) # (\vga_ctrl_inst|pix_data_req~6_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~5_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~6_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~7 .lut_mask = 16'hA080;
-defparam \vga_ctrl_inst|pix_data_req~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~11 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~11_combout = ((\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~12_combout ))) # (!\vga_ctrl_inst|pix_data_req~7_combout )
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~11 .lut_mask = 16'hFBF3;
-defparam \vga_pic_inst|pix_data[13]~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N6
-cycloneive_lcell_comb \vga_pic_inst|always0~0 (
-// Equation(s):
-// \vga_pic_inst|always0~0_combout = (\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((!\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~16_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[13]~11_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|always0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|always0~0 .lut_mask = 16'hEFFF;
-defparam \vga_pic_inst|always0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
-// Equation(s):
-// \vga_pic_inst|pix_data~13_combout = (\vga_pic_inst|LessThan14~0_combout & (((\vga_ctrl_inst|Add2~12_combout )) # (!\vga_pic_inst|pix_data~12_combout ))) # (!\vga_pic_inst|LessThan14~0_combout & (\vga_pic_inst|always0~0_combout &
-// ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_pic_inst|pix_data~12_combout ))))
-
- .dataa(\vga_pic_inst|LessThan14~0_combout ),
- .datab(\vga_pic_inst|pix_data~12_combout ),
- .datac(\vga_ctrl_inst|Add2~12_combout ),
- .datad(\vga_pic_inst|always0~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'hF3A2;
-defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N28
-cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
-// Equation(s):
-// \vga_pic_inst|pix_data~17_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~17_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0500;
-defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N10
-cycloneive_lcell_comb \vga_pic_inst|pix_data~34 (
-// Equation(s):
-// \vga_pic_inst|pix_data~34_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~17_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
-
- .dataa(\vga_pic_inst|pix_data~16_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data~17_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~34_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~34 .lut_mask = 16'h7555;
-defparam \vga_pic_inst|pix_data~34 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N16
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~8 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~8_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~8 .lut_mask = 16'h55FF;
-defparam \vga_pic_inst|pix_data[13]~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~9 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~9_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|pix_data[13]~8_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|pix_data[13]~8_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~9 .lut_mask = 16'h0200;
-defparam \vga_pic_inst|pix_data[13]~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~10 (
-// Equation(s):
-// \vga_pic_inst|pix_data[13]~10_combout = (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data[13]~9_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~20_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[13]~9_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[13]~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13]~10 .lut_mask = 16'h5000;
-defparam \vga_pic_inst|pix_data[13]~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N8
-cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
-// Equation(s):
-// \vga_pic_inst|pix_data~18_combout = ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|pix_data~13_combout & !\vga_pic_inst|pix_data[13]~10_combout ))) # (!\vga_pic_inst|pix_data~34_combout )
-
- .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .datab(\vga_pic_inst|pix_data~13_combout ),
- .datac(\vga_pic_inst|pix_data~34_combout ),
- .datad(\vga_pic_inst|pix_data[13]~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h0F1F;
-defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N9
-dffeas \vga_pic_inst|pix_data[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~18_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~20 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~20_combout = \vga_ctrl_inst|Add2~19 $ (\vga_ctrl_inst|cnt_h [11])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [11]),
- .cin(\vga_ctrl_inst|Add2~19 ),
- .combout(\vga_ctrl_inst|Add2~20_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~20 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add2~20 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N28
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~4 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~4_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~12_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~4 .lut_mask = 16'h0010;
-defparam \vga_pic_inst|LessThan17~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N20
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~3 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~3_combout = (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~4_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~18_combout ),
- .datab(\vga_ctrl_inst|Add2~20_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|LessThan17~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~3 .lut_mask = 16'h1000;
-defparam \vga_pic_inst|LessThan17~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N14
-cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|Add2~12_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~10_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hA000;
-defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
-// Equation(s):
-// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|LessThan14~0_combout & !\vga_pic_inst|always0~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .datab(\vga_pic_inst|LessThan17~3_combout ),
- .datac(\vga_pic_inst|LessThan14~0_combout ),
- .datad(\vga_pic_inst|always0~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~19_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCCCD;
-defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
-// Equation(s):
-// \vga_pic_inst|pix_data~20_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & \vga_pic_inst|pix_data~19_combout )) # (!\vga_pic_inst|pix_data~34_combout )
-
- .dataa(gnd),
- .datab(\vga_pic_inst|pix_data[13]~10_combout ),
- .datac(\vga_pic_inst|pix_data~34_combout ),
- .datad(\vga_pic_inst|pix_data~19_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~20_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h3F0F;
-defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N19
-dffeas \vga_pic_inst|pix_data[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~20_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add6~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [4] & \vga_pic_inst|pix_data [0])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~3_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datac(\vga_pic_inst|pix_data [4]),
- .datad(\vga_pic_inst|pix_data [0]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .lut_mask = 16'h8000;
-defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .lut_mask = 16'h00FF;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N21
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N27
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N30
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & ((!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0]))))
-
- .dataa(\vga_ctrl_inst|cnt_v [0]),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|cnt_v [2]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0013;
-defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N6
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~1_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|cnt_v [4] & ((!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|pix_data_req~0_combout )))) #
-// (!\vga_ctrl_inst|LessThan6~0_combout & (((!\vga_ctrl_inst|always1~0_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datab(\vga_ctrl_inst|always1~0_combout ),
- .datac(\vga_ctrl_inst|LessThan6~0_combout ),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h3353;
-defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N28
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~0 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[1]~0_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [4] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_pic_inst|pix_data [4]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[1]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[1]~0 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[1]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N29
-dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[1]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N30
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[2]~1 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[2]~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \vga_pic_inst|pix_data [0])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datad(\vga_pic_inst|pix_data [0]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[2]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[2]~1 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[2]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N31
-dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[2]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]) # (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .lut_mask = 16'hFFFC;
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst0|Add14~0_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .lut_mask = 16'h00FF;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N9
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & VCC))
-// \hdmi_ctrl_inst|encode_inst0|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1] & \hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add19~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (GND)))
-// \hdmi_ctrl_inst|encode_inst0|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add19~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add19~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .lut_mask = 16'h5A5F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add19~6_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (\hdmi_ctrl_inst|encode_inst0|Add19~5 )
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add19~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .lut_mask = 16'h3C3C;
-defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC)))
-// \hdmi_ctrl_inst|encode_inst0|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1]) # (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add22~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .lut_mask = 16'h99EE;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
-// \hdmi_ctrl_inst|encode_inst0|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add22~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|Add22~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .lut_mask = 16'hA505;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add22~6_combout = \hdmi_ctrl_inst|encode_inst0|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst0|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst0|Add22~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add19~6_combout )) #
-// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .lut_mask = 16'hFBEA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N17
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .lut_mask = 16'hAF44;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~10 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst0|Add16~9_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ))))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .lut_mask = 16'h5FC0;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & ((!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .lut_mask = 16'h0CFC;
-defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N29
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .lut_mask = 16'hC030;
-defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N19
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .lut_mask = 16'hAF44;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~14 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~13_combout &
-// (\hdmi_ctrl_inst|encode_inst0|Add19~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .lut_mask = 16'h5F88;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y22_N1
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] &
-// (\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .lut_mask = 16'hA088;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) #
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .lut_mask = 16'h8421;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .lut_mask = 16'h0F00;
-defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ) # (\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .lut_mask = 16'h00EE;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~4_combout &
-// (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add4~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .lut_mask = 16'hA000;
-defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N17
-dffeas \hdmi_ctrl_inst|encode_inst2|de_reg1 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|de_reg1~q
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N1
-dffeas \hdmi_ctrl_inst|encode_inst2|de_reg2 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N13
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_2~combout = (\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))) #
-// (!\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .lut_mask = 16'h3B0A;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .lut_mask = 16'hBFAA;
-defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y22_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst0|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
- .cin(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ),
- .combout(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .lut_mask = 16'hA55A;
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X38_Y22_N15
-dffeas \hdmi_ctrl_inst|encode_inst0|cnt[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) #
-// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .lut_mask = 16'h7150;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y22_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst0|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [4] &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
- .datac(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .lut_mask = 16'hF2C2;
-defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~1_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .lut_mask = 16'h87D2;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~1_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N28
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # ((\vga_ctrl_inst|cnt_h [10]) # ((\vga_ctrl_inst|cnt_h [9]) # (\vga_ctrl_inst|cnt_h [11])))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(\vga_ctrl_inst|cnt_h [10]),
- .datac(\vga_ctrl_inst|cnt_h [9]),
- .datad(\vga_ctrl_inst|cnt_h [11]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hFFFE;
-defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N26
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~1 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan0~1_combout = (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|LessThan0~0_combout & ((!\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|cnt_h [6]))))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(\vga_ctrl_inst|cnt_h [5]),
- .datad(\vga_ctrl_inst|LessThan0~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan0~1 .lut_mask = 16'h0015;
-defparam \vga_ctrl_inst|LessThan0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y20_N27
-dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg1 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|LessThan0~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X38_Y20_N25
-dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg2 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N9
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .lut_mask = 16'h33CC;
-defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y22_N25
-dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~4_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
- .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .lut_mask = 16'hC35A;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~4_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N29
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y20_N14
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan1~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [3] & \vga_ctrl_inst|always1~1_combout )))
-
- .dataa(\vga_ctrl_inst|cnt_v [2]),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|cnt_v [3]),
- .datad(\vga_ctrl_inst|always1~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'h0100;
-defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y20_N15
-dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg1 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|LessThan1~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|c1_reg1~q
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N5
-dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg2 (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c0_reg2~q $
-// (!\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .lut_mask = 16'hACA3;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N5
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .lut_mask = 16'hC0C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N1
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N7
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .lut_mask = 16'hAFA0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y22_N25
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst0|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .lut_mask = 16'hA3A3;
-defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N17
-dffeas \hdmi_ctrl_inst|encode_inst0|data_out[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y22_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [2]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]))
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
- .datab(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .lut_mask = 16'hCACA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y22_N21
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y20_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .lut_mask = 16'hF3C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y20_N9
-dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y22_N18
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N16
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~2 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~2_combout = (!\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|pix_data_req~7_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~2 .lut_mask = 16'h1010;
-defparam \vga_pic_inst|LessThan17~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~15 (
-// Equation(s):
-// \vga_pic_inst|pix_data[9]~15_combout = (\vga_pic_inst|pix_data[9]~14_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~2_combout )))
-
- .dataa(\vga_pic_inst|pix_data[9]~14_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|LessThan17~2_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[9]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9]~15 .lut_mask = 16'h0200;
-defparam \vga_pic_inst|pix_data[9]~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y20_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~35 (
-// Equation(s):
-// \vga_pic_inst|pix_data~35_combout = (\vga_pic_inst|LessThan10~0_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )))
-
- .dataa(\vga_pic_inst|LessThan10~0_combout ),
- .datab(\vga_ctrl_inst|Add2~18_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[13]~11_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~35_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~35 .lut_mask = 16'hFFEF;
-defparam \vga_pic_inst|pix_data~35 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data~36 (
-// Equation(s):
-// \vga_pic_inst|pix_data~36_combout = (\vga_pic_inst|always0~2_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_pic_inst|pix_data[9]~15_combout )))
-
- .dataa(\vga_pic_inst|always0~2_combout ),
- .datab(\vga_ctrl_inst|Add2~20_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_pic_inst|pix_data[9]~15_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~36_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~36 .lut_mask = 16'h0020;
-defparam \vga_pic_inst|pix_data~36 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N6
-cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
-// Equation(s):
-// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|pix_data~12_combout & (!\vga_ctrl_inst|Add2~12_combout & ((\vga_pic_inst|LessThan14~0_combout ) # (\vga_pic_inst|always0~0_combout ))))
-
- .dataa(\vga_pic_inst|LessThan14~0_combout ),
- .datab(\vga_pic_inst|pix_data~12_combout ),
- .datac(\vga_ctrl_inst|Add2~12_combout ),
- .datad(\vga_pic_inst|always0~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~21_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'h0C08;
-defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N22
-cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
-// Equation(s):
-// \vga_pic_inst|pix_data~26_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~25_combout ),
- .datab(\vga_pic_inst|pix_data~35_combout ),
- .datac(\vga_pic_inst|pix_data~36_combout ),
- .datad(\vga_pic_inst|pix_data~21_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~26_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hE0A0;
-defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|pix_x[11]~0 (
-// Equation(s):
-// \vga_ctrl_inst|pix_x[11]~0_combout = (\vga_ctrl_inst|Add2~20_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~20_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_x[11]~0 .lut_mask = 16'hFF0F;
-defparam \vga_ctrl_inst|pix_x[11]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N8
-cycloneive_lcell_comb \vga_pic_inst|pix_data~27 (
-// Equation(s):
-// \vga_pic_inst|pix_data~27_combout = (\vga_pic_inst|pix_data~26_combout ) # ((!\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
-
- .dataa(\vga_pic_inst|always0~2_combout ),
- .datab(\vga_pic_inst|pix_data[9]~15_combout ),
- .datac(\vga_pic_inst|pix_data~26_combout ),
- .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~27_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~27 .lut_mask = 16'hF0F1;
-defparam \vga_pic_inst|pix_data~27 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y21_N9
-dffeas \vga_pic_inst|pix_data[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~27_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N14
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~2 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[10]~2_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data [10] & \vga_ctrl_inst|pix_data_req~1_combout )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datac(\vga_pic_inst|pix_data [10]),
- .datad(\vga_ctrl_inst|pix_data_req~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[10]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[10]~2 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[10]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N15
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[10]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~29 (
-// Equation(s):
-// \vga_pic_inst|pix_data~29_combout = (\vga_ctrl_inst|pix_data_req~7_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & !\vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|Add2~14_combout &
-// ((\vga_ctrl_inst|Add2~12_combout )))))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~29_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~29 .lut_mask = 16'h5020;
-defparam \vga_pic_inst|pix_data~29 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~30 (
-// Equation(s):
-// \vga_pic_inst|pix_data~30_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~20_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~18_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
- .datab(\vga_ctrl_inst|Add2~20_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~18_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~30_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~30 .lut_mask = 16'h0002;
-defparam \vga_pic_inst|pix_data~30 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~31 (
-// Equation(s):
-// \vga_pic_inst|pix_data~31_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((\vga_pic_inst|pix_data~29_combout & \vga_pic_inst|pix_data~30_combout ))
-
- .dataa(gnd),
- .datab(\vga_pic_inst|pix_data~29_combout ),
- .datac(\vga_pic_inst|pix_data~30_combout ),
- .datad(\vga_pic_inst|LessThan17~3_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~31_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~31 .lut_mask = 16'hFFC0;
-defparam \vga_pic_inst|pix_data~31 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y21_N5
-dffeas \vga_pic_inst|pix_data[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~31_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N4
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[6]~4 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[6]~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [8])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_pic_inst|pix_data [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[6]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[6]~4 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[6]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N5
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[6]~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add5~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add5~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~1_combout & (\vga_pic_inst|pix_data [8] & ((\vga_pic_inst|pix_data [9]) # (\vga_pic_inst|pix_data [10]))))
-
- .dataa(\vga_pic_inst|pix_data [9]),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
- .datac(\vga_pic_inst|pix_data [10]),
- .datad(\vga_pic_inst|pix_data [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .lut_mask = 16'hC800;
-defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N27
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) #
-// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .lut_mask = 16'h6006;
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N25
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y21_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~28 (
-// Equation(s):
-// \vga_pic_inst|pix_data~28_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~25_combout ),
- .datab(\vga_pic_inst|pix_data~35_combout ),
- .datac(\vga_pic_inst|pix_data~36_combout ),
- .datad(\vga_pic_inst|pix_data~21_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~28_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~28 .lut_mask = 16'hE0A0;
-defparam \vga_pic_inst|pix_data~28 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X38_Y21_N3
-dffeas \vga_pic_inst|pix_data[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~28_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N8
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[7]~3_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [9])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_pic_inst|pix_data [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N9
-dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[7]~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add13~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
-// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
-// (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .lut_mask = 16'hF690;
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N13
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add13~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & \hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] &
-// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .lut_mask = 16'hF330;
-defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N29
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .lut_mask = 16'h08AE;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .lut_mask = 16'h7510;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add14~2_combout = (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X33_Y21_N7
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst1|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~3 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt
-// [2] & !\hdmi_ctrl_inst|encode_inst1|Add15~3 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add15~3 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add15~5 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (((!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .lut_mask = 16'h37FE;
-defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N17
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// (\hdmi_ctrl_inst|encode_inst1|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst1|Add23~1 ))))
-// \hdmi_ctrl_inst|encode_inst1|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
-// ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add23~1 ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add23~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|Add23~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .lut_mask = 16'h694D;
-defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~2_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .lut_mask = 16'hAAE4;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~10 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~2_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N23
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] &
-// ((\hdmi_ctrl_inst|encode_inst1|Add15~0_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .lut_mask = 16'hA0C0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout &
-// (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout &
-// ((\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout &
-// ((!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N11
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|cnt [4])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
- .datac(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst1|cnt [3])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .lut_mask = 16'h00CC;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .lut_mask = 16'h00EE;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~14_combout &
-// (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout &
-// (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout &
-// ((\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout &
-// ((!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N15
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X32_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~4_combout )) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add23~4_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .lut_mask = 16'hEE50;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~3_combout
-// & (\hdmi_ctrl_inst|encode_inst1|Add17~6_combout & ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~6_combout &
-// (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N17
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) #
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .lut_mask = 16'h8241;
-defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_2~combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
-// ((\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|cnt [3] & \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
- .datac(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .lut_mask = 16'h7350;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .lut_mask = 16'h22EE;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ) # (\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add22~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~12 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~11_combout &
-// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .lut_mask = 16'h5F22;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N13
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add22~6_combout = \hdmi_ctrl_inst|encode_inst1|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst1|Add22~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~6_combout )) #
-// (!\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .lut_mask = 16'hFFAC;
-defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst1|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
- .cin(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ),
- .combout(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .lut_mask = 16'hA55A;
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X36_Y21_N19
-dffeas \hdmi_ctrl_inst|encode_inst1|cnt[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y21_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst1|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [4] &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [4] & ((\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .lut_mask = 16'hEE50;
-defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y21_N19
-dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~1_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
- .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .lut_mask = 16'hA53C;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~1_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N1
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~3_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
- .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
- .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .lut_mask = 16'h9A56;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~3_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N29
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [5]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]))
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .lut_mask = 16'hCACA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N21
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst1|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .lut_mask = 16'hAF05;
-defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N29
-dffeas \hdmi_ctrl_inst|encode_inst1|data_out[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .lut_mask = 16'hCC00;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N27
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N23
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N19
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .lut_mask = 16'hBB88;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N3
-dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y23_N11
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N14
-cycloneive_lcell_comb \vga_pic_inst|pix_data~33 (
-// Equation(s):
-// \vga_pic_inst|pix_data~33_combout = (\vga_pic_inst|pix_data~37_combout & ((\vga_pic_inst|pix_data[13]~10_combout ) # (\vga_pic_inst|pix_data~19_combout )))
-
- .dataa(\vga_pic_inst|pix_data~37_combout ),
- .datab(\vga_pic_inst|pix_data[13]~10_combout ),
- .datac(gnd),
- .datad(\vga_pic_inst|pix_data~19_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~33_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~33 .lut_mask = 16'hAA88;
-defparam \vga_pic_inst|pix_data~33 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N15
-dffeas \vga_pic_inst|pix_data[13] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~33_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [13]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[13] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N22
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[13]~6 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[13]~6_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [13] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_pic_inst|pix_data [13]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[13]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[13]~6 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[13]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N23
-dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[13]~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~32 (
-// Equation(s):
-// \vga_pic_inst|pix_data~32_combout = (\vga_pic_inst|pix_data~37_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & ((\vga_pic_inst|pix_data[13]~9_combout ) # (!\vga_pic_inst|pix_data~13_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~37_combout ),
- .datab(\vga_pic_inst|pix_data~13_combout ),
- .datac(\vga_pic_inst|pix_data[13]~9_combout ),
- .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~32_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~32 .lut_mask = 16'h00A2;
-defparam \vga_pic_inst|pix_data~32 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N5
-dffeas \vga_pic_inst|pix_data[15] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~32_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [15]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[15] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add6~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [13] & \vga_pic_inst|pix_data [15])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~3_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .datac(\vga_pic_inst|pix_data [13]),
- .datad(\vga_pic_inst|pix_data [15]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .lut_mask = 16'h8000;
-defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N27
-dffeas \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y21_N20
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~5 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[12]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [15] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datac(\vga_pic_inst|pix_data [15]),
- .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[12]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[12]~5 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|rgb[12]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y21_N21
-dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|rgb[12]~5_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (!\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .lut_mask = 16'h3F0C;
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N5
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) # ((\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]) # (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .lut_mask = 16'hFFFC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N1
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add12~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .lut_mask = 16'h00F0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N23
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .lut_mask = 16'h20F2;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N7
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) #
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .lut_mask = 16'h9009;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & \hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add19~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~5 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .lut_mask = 16'h0C0A;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .lut_mask = 16'hF7F0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|cnt [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .lut_mask = 16'h0001;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .lut_mask = 16'h0F00;
-defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .lut_mask = 16'h00EE;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout &
-// (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout &
-// ((\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout &
-// ((!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N3
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] & VCC))
-// \hdmi_ctrl_inst|encode_inst2|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst2|cnt [0]))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add15~1 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .lut_mask = 16'h6688;
-defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst2|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] &
-// ((\hdmi_ctrl_inst|encode_inst2|Add15~0_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .lut_mask = 16'hAC00;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~12_combout &
-// (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
-// (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
-// ((\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (GND)))))
-// \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout &
-// ((!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .lut_mask = 16'h9617;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))) # (GND)
-// \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~4_combout &
-// (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .lut_mask = 16'h698E;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N9
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst2|Add14~0_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .lut_mask = 16'h00FF;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N3
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_2~combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))) #
-// (!\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .lut_mask = 16'h0ACE;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~14 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~13_combout & (((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~13_combout
-// & (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .lut_mask = 16'h6E2A;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N5
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// (\hdmi_ctrl_inst|encode_inst2|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add23~1 ))))
-// \hdmi_ctrl_inst|encode_inst2|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
-// ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add23~1 ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add23~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add23~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .lut_mask = 16'h694D;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N22
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// ((\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
-// (!\hdmi_ctrl_inst|encode_inst2|Add20~1 ))))
-// \hdmi_ctrl_inst|encode_inst2|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
-// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add20~1 )))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
- .datac(gnd),
- .datad(vcc),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add20~1 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
- .cout(\hdmi_ctrl_inst|encode_inst2|Add20~3 ));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .lut_mask = 16'h692B;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .lut_mask = 16'hFA44;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~7_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~7_combout
-// & (\hdmi_ctrl_inst|encode_inst2|Add17~4_combout & ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .lut_mask = 16'hCAF0;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N7
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N9
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~8 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add17~8_combout = \hdmi_ctrl_inst|encode_inst2|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add17~7 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .lut_mask = 16'hF00F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add23~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add23~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ) # ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) #
-// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add23~6_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .lut_mask = 16'hAAD8;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add20~5
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\hdmi_ctrl_inst|encode_inst2|Add20~5 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y24_N2
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~0_combout
-// & (((\hdmi_ctrl_inst|encode_inst2|Add20~6_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .lut_mask = 16'hB8CC;
-defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N10
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst2|Add16~2_combout $ (\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
- .cin(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ),
- .combout(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .lut_mask = 16'hA55A;
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N11
-dffeas \hdmi_ctrl_inst|encode_inst2|cnt[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N26
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [4] & (((\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ) # (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [4] &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .lut_mask = 16'hF0CA;
-defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N21
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(gnd),
- .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(vcc),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~1_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
- .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .lut_mask = 16'hB41E;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~1_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N1
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N28
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .lut_mask = 16'h0FF0;
-defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X39_Y24_N29
-dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X39_Y24_N30
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~4_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
- .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .lut_mask = 16'h939C;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N16
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~4_combout
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .lut_mask = 16'hFF00;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N17
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N18
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~7 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
-// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .lut_mask = 16'hEB41;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N19
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y21_N12
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .lut_mask = 16'hC0C0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y21_N13
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N8
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .lut_mask = 16'hCCF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N9
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N4
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .lut_mask = 16'hCCF0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N5
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .lut_mask = 16'hB8B8;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y23_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y23_N21
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N17
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N20
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
-// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
- .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .lut_mask = 16'h33AA;
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X38_Y20_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell (
-// Equation(s):
-// \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout = !\hdmi_ctrl_inst|encode_inst2|c0_reg2~q
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
- .datad(gnd),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .lut_mask = 16'h0F0F;
-defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N21
-dffeas \hdmi_ctrl_inst|encode_inst2|data_out[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
- .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N6
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|data_out [6]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]))
-
- .dataa(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .lut_mask = 16'hCCAA;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N7
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N24
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .lut_mask = 16'hBB88;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N25
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N0
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2])))
-
- .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
- .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datac(gnd),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .lut_mask = 16'hBB88;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N1
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X40_Y24_N14
-cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 (
-// Equation(s):
-// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1])))
-
- .dataa(gnd),
- .datab(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
- .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
- .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
- .cin(gnd),
- .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .lut_mask = 16'hCFC0;
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X40_Y24_N15
-dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
- .asdata(vcc),
- .clrn(vcc),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .is_wysiwyg = "true";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y24_N4
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
- .datainhi(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y22_N25
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y23_N18
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-// Location: DDIOOUTCELL_X41_Y24_N11
-cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
- .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
- .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
- .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
- .clk(gnd),
- .ena(vcc),
- .areset(gnd),
- .sreset(gnd),
- .devclrn(devclrn),
- .devpor(devpor),
- .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
- .dfflo(),
- .dffhi());
-// synopsys translate_off
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
-defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
-// synopsys translate_on
-
-endmodule
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus II 32-bit"
+// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
+
+// DATE "04/29/2025 22:08:27"
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This Verilog file should be used for ModelSim (Verilog) only
+//
+
+`timescale 1 ps/ 1 ps
+
+module hdmi_colorbar (
+ sys_clk,
+ sys_rst_n,
+ ddc_scl,
+ ddc_sda,
+ tmds_clk_p,
+ tmds_clk_n,
+ tmds_data_p,
+ tmds_data_n);
+input sys_clk;
+input sys_rst_n;
+output ddc_scl;
+output ddc_sda;
+output tmds_clk_p;
+output tmds_clk_n;
+output [2:0] tmds_data_p;
+output [2:0] tmds_data_n;
+
+// Design Ports Information
+// ddc_scl => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default
+// ddc_sda => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_clk_p => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_clk_n => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: 8mA
+// tmds_data_p[0] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_p[1] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_p[2] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_n[0] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_n[1] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default
+// tmds_data_n[2] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default
+// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
+// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
+
+
+wire gnd;
+wire vcc;
+wire unknown;
+
+assign gnd = 1'b0;
+assign vcc = 1'b1;
+assign unknown = 1'bx;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+// synopsys translate_off
+initial $sdf_annotate("hdmi_colorbar_min_1200mv_0c_v_fast.sdo");
+// synopsys translate_on
+
+wire \hdmi_ctrl_inst|encode_inst0|Add20~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~7 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add17~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~7 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add20~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~7 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add23~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~7 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~4_combout ;
+wire \vga_ctrl_inst|Add0~6_combout ;
+wire \vga_ctrl_inst|Add1~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~10_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~12_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~10_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~12_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~10_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~12_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ;
+wire \vga_ctrl_inst|LessThan0~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~5_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~5_combout ;
+wire \vga_ctrl_inst|always1~2_combout ;
+wire \vga_ctrl_inst|cnt_v[2]~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ;
+wire \vga_pic_inst|pix_data~22_combout ;
+wire \vga_pic_inst|LessThan14~1_combout ;
+wire \vga_pic_inst|pix_data[13]~24_combout ;
+wire \vga_pic_inst|pix_data~29_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~5_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~7_combout ;
+wire \vga_pic_inst|LessThan17~4_combout ;
+wire \vga_pic_inst|pix_data~35_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ;
+wire \sys_clk~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ;
+wire \vga_ctrl_inst|Add1~1 ;
+wire \vga_ctrl_inst|Add1~3 ;
+wire \vga_ctrl_inst|Add1~5 ;
+wire \vga_ctrl_inst|Add1~6_combout ;
+wire \vga_ctrl_inst|cnt_v[3]~3_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
+wire \sys_rst_n~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
+wire \rst_n~0_combout ;
+wire \rst_n~0clkctrl_outclk ;
+wire \vga_ctrl_inst|Add1~7 ;
+wire \vga_ctrl_inst|Add1~8_combout ;
+wire \vga_ctrl_inst|Add0~0_combout ;
+wire \vga_ctrl_inst|Add0~1 ;
+wire \vga_ctrl_inst|Add0~2_combout ;
+wire \vga_ctrl_inst|Add0~3 ;
+wire \vga_ctrl_inst|Add0~4_combout ;
+wire \vga_ctrl_inst|Add0~5 ;
+wire \vga_ctrl_inst|Add0~7 ;
+wire \vga_ctrl_inst|Add0~8_combout ;
+wire \vga_ctrl_inst|Add0~9 ;
+wire \vga_ctrl_inst|Add0~11 ;
+wire \vga_ctrl_inst|Add0~12_combout ;
+wire \vga_ctrl_inst|Add0~13 ;
+wire \vga_ctrl_inst|Add0~14_combout ;
+wire \vga_ctrl_inst|Add0~15 ;
+wire \vga_ctrl_inst|Add0~16_combout ;
+wire \vga_ctrl_inst|Equal0~0_combout ;
+wire \vga_ctrl_inst|cnt_h~2_combout ;
+wire \vga_ctrl_inst|Equal0~2_combout ;
+wire \vga_ctrl_inst|Add0~17 ;
+wire \vga_ctrl_inst|Add0~18_combout ;
+wire \vga_ctrl_inst|cnt_h~1_combout ;
+wire \vga_ctrl_inst|Add0~19 ;
+wire \vga_ctrl_inst|Add0~20_combout ;
+wire \vga_ctrl_inst|Add0~21 ;
+wire \vga_ctrl_inst|Add0~22_combout ;
+wire \vga_ctrl_inst|Equal0~1_combout ;
+wire \vga_ctrl_inst|Equal0~3_combout ;
+wire \vga_ctrl_inst|cnt_v[4]~5_combout ;
+wire \vga_ctrl_inst|Add1~9 ;
+wire \vga_ctrl_inst|Add1~10_combout ;
+wire \vga_ctrl_inst|cnt_v[5]~10_combout ;
+wire \vga_ctrl_inst|Add1~11 ;
+wire \vga_ctrl_inst|Add1~12_combout ;
+wire \vga_ctrl_inst|cnt_v[6]~8_combout ;
+wire \vga_ctrl_inst|Add1~13 ;
+wire \vga_ctrl_inst|Add1~14_combout ;
+wire \vga_ctrl_inst|cnt_v[7]~7_combout ;
+wire \vga_ctrl_inst|Add1~15 ;
+wire \vga_ctrl_inst|Add1~16_combout ;
+wire \vga_ctrl_inst|cnt_v[8]~6_combout ;
+wire \vga_ctrl_inst|Add1~17 ;
+wire \vga_ctrl_inst|Add1~18_combout ;
+wire \vga_ctrl_inst|cnt_v[9]~9_combout ;
+wire \vga_ctrl_inst|Add1~19 ;
+wire \vga_ctrl_inst|Add1~20_combout ;
+wire \vga_ctrl_inst|cnt_v[10]~12_combout ;
+wire \vga_ctrl_inst|Add1~21 ;
+wire \vga_ctrl_inst|Add1~22_combout ;
+wire \vga_ctrl_inst|cnt_v[11]~11_combout ;
+wire \vga_ctrl_inst|pix_data_req~8_combout ;
+wire \vga_ctrl_inst|always1~0_combout ;
+wire \vga_ctrl_inst|always1~1_combout ;
+wire \vga_ctrl_inst|cnt_v[11]~0_combout ;
+wire \vga_ctrl_inst|Add1~2_combout ;
+wire \vga_ctrl_inst|cnt_v[1]~1_combout ;
+wire \vga_ctrl_inst|Add1~0_combout ;
+wire \vga_ctrl_inst|cnt_v[0]~2_combout ;
+wire \vga_ctrl_inst|LessThan6~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~1_combout ;
+wire \vga_ctrl_inst|pix_data_req~2_combout ;
+wire \vga_ctrl_inst|pix_data_req~3_combout ;
+wire \vga_ctrl_inst|Add0~10_combout ;
+wire \vga_ctrl_inst|cnt_h~0_combout ;
+wire \vga_ctrl_inst|Add2~1_cout ;
+wire \vga_ctrl_inst|Add2~3_cout ;
+wire \vga_ctrl_inst|Add2~5_cout ;
+wire \vga_ctrl_inst|Add2~7_cout ;
+wire \vga_ctrl_inst|Add2~9_cout ;
+wire \vga_ctrl_inst|Add2~11 ;
+wire \vga_ctrl_inst|Add2~13 ;
+wire \vga_ctrl_inst|Add2~14_combout ;
+wire \vga_ctrl_inst|Add2~12_combout ;
+wire \vga_pic_inst|always0~1_combout ;
+wire \vga_ctrl_inst|Add2~10_combout ;
+wire \vga_pic_inst|LessThan17~2_combout ;
+wire \vga_ctrl_inst|Add2~15 ;
+wire \vga_ctrl_inst|Add2~16_combout ;
+wire \vga_pic_inst|always0~2_combout ;
+wire \vga_pic_inst|pix_data[13]~8_combout ;
+wire \vga_ctrl_inst|Add2~17 ;
+wire \vga_ctrl_inst|Add2~18_combout ;
+wire \vga_pic_inst|pix_data[13]~9_combout ;
+wire \vga_ctrl_inst|Add2~19 ;
+wire \vga_ctrl_inst|Add2~20_combout ;
+wire \vga_ctrl_inst|pix_x[11]~0_combout ;
+wire \vga_pic_inst|pix_data~16_combout ;
+wire \vga_pic_inst|pix_data~17_combout ;
+wire \vga_pic_inst|pix_data~34_combout ;
+wire \vga_ctrl_inst|pix_data_req~5_combout ;
+wire \vga_ctrl_inst|pix_data_req~6_combout ;
+wire \vga_ctrl_inst|pix_data_req~7_combout ;
+wire \vga_pic_inst|pix_data~12_combout ;
+wire \vga_pic_inst|pix_data[13]~11_combout ;
+wire \vga_pic_inst|always0~0_combout ;
+wire \vga_pic_inst|LessThan14~0_combout ;
+wire \vga_pic_inst|pix_data~13_combout ;
+wire \vga_pic_inst|pix_data~18_combout ;
+wire \vga_pic_inst|pix_data~19_combout ;
+wire \vga_pic_inst|pix_data~20_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add6~0_combout ;
+wire \vga_ctrl_inst|LessThan4~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~4_combout ;
+wire \vga_ctrl_inst|rgb[2]~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add12~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add12~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add14~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add14~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~14_combout ;
+wire \vga_ctrl_inst|rgb[1]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add4~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|de_reg1~q ;
+wire \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|de_reg2~q ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~16_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_2~combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add22~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~5 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add19~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add20~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add23~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~1 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~3 ;
+wire \hdmi_ctrl_inst|encode_inst0|Add15~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|Add16~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ;
+wire \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ;
+wire \vga_ctrl_inst|LessThan0~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c0_reg1~q ;
+wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~q ;
+wire \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ;
+wire \vga_pic_inst|pix_data~30_combout ;
+wire \vga_pic_inst|LessThan17~3_combout ;
+wire \vga_pic_inst|pix_data~31_combout ;
+wire \vga_ctrl_inst|rgb[6]~4_combout ;
+wire \vga_ctrl_inst|pix_x[10]~1_combout ;
+wire \vga_pic_inst|pix_data~23_combout ;
+wire \vga_pic_inst|LessThan10~0_combout ;
+wire \vga_pic_inst|pix_data~25_combout ;
+wire \vga_pic_inst|pix_data[9]~14_combout ;
+wire \vga_pic_inst|pix_data[9]~15_combout ;
+wire \vga_pic_inst|pix_data~36_combout ;
+wire \vga_pic_inst|pix_data~21_combout ;
+wire \vga_pic_inst|pix_data~28_combout ;
+wire \vga_ctrl_inst|rgb[7]~3_combout ;
+wire \vga_pic_inst|pix_data~26_combout ;
+wire \vga_pic_inst|pix_data~27_combout ;
+wire \vga_ctrl_inst|rgb[10]~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add13~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add14~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add14~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add14~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add5~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~16_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~5 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add13~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add17~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add15~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~14_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~1 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~3 ;
+wire \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|Add16~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|condition_2~combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ;
+wire \vga_ctrl_inst|LessThan1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c1_reg1~q ;
+wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~q ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~7_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ;
+wire \vga_pic_inst|pix_data~37_combout ;
+wire \vga_pic_inst|pix_data[13]~10_combout ;
+wire \vga_pic_inst|pix_data~33_combout ;
+wire \vga_pic_inst|pix_data~32_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add6~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ;
+wire \vga_ctrl_inst|rgb[13]~6_combout ;
+wire \vga_ctrl_inst|rgb[12]~5_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add14~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add12~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add12~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_2~combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add14~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~3_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~16_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~14_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~7_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~4_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add22~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~1 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~3 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add19~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~7 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add15~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add20~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~7 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add17~8_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~5 ;
+wire \hdmi_ctrl_inst|encode_inst2|Add23~6_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|Add16~1_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ;
+wire \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ;
+wire \hdmi_ctrl_inst|encode_inst2|data_out~8_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ;
+wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ;
+wire [8:0] \hdmi_ctrl_inst|encode_inst1|q_m_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n0 ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n1 ;
+wire [7:0] \hdmi_ctrl_inst|encode_inst2|data_in_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst2|data_in_n1 ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [11:0] \vga_ctrl_inst|cnt_h ;
+wire [15:0] \vga_pic_inst|pix_data ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n1 ;
+wire [4:0] \hdmi_ctrl_inst|encode_inst0|cnt ;
+wire [2:0] \hdmi_ctrl_inst|par_to_ser_inst0|cnt ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s ;
+wire [4:0] \hdmi_ctrl_inst|encode_inst1|cnt ;
+wire [9:0] \hdmi_ctrl_inst|encode_inst1|data_out ;
+wire [7:0] \hdmi_ctrl_inst|encode_inst1|data_in_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst1|data_in_n1 ;
+wire [4:0] \hdmi_ctrl_inst|encode_inst2|cnt ;
+wire [9:0] \hdmi_ctrl_inst|encode_inst2|data_out ;
+wire [8:0] \hdmi_ctrl_inst|encode_inst2|q_m_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n0 ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n1 ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s ;
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
+wire [11:0] \vga_ctrl_inst|cnt_v ;
+wire [8:0] \hdmi_ctrl_inst|encode_inst0|q_m_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n0 ;
+wire [9:0] \hdmi_ctrl_inst|encode_inst0|data_out ;
+wire [7:0] \hdmi_ctrl_inst|encode_inst0|data_in_reg ;
+wire [3:0] \hdmi_ctrl_inst|encode_inst0|data_in_n1 ;
+wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s ;
+wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ;
+
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
+
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
+
+// Location: PLL_2
+cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
+ .areset(!\sys_rst_n~input_o ),
+ .pfdena(vcc),
+ .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .phaseupdown(gnd),
+ .phasestep(gnd),
+ .scandata(gnd),
+ .scanclk(gnd),
+ .scanclkena(vcc),
+ .configupdate(gnd),
+ .clkswitch(gnd),
+ .inclk({gnd,\sys_clk~input_o }),
+ .phasecounterselect(3'b000),
+ .phasedone(),
+ .scandataout(),
+ .scandone(),
+ .activeclock(),
+ .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .vcooverrange(),
+ .vcounderrange(),
+ .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
+ .clkbad());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 13;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "odd";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 3;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 2;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "odd";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 25;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 5;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 3334;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 208;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N11
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N13
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N19
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add20~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .lut_mask = 16'h66BB;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// ((\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add20~1 ))))
+// \hdmi_ctrl_inst|encode_inst0|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add20~1 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add20~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add20~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .lut_mask = 16'h692B;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add20~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add20~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add20~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .lut_mask = 16'h3CCF;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add20~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add20~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add17~1
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] &
+// ((!\hdmi_ctrl_inst|encode_inst0|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1
+// [2] & !\hdmi_ctrl_inst|encode_inst0|Add17~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add17~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .lut_mask = 16'h3C3F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add17~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~7 )
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add17~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .lut_mask = 16'hC3C3;
+defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add23~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .lut_mask = 16'h66DD;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// (\hdmi_ctrl_inst|encode_inst0|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
+// \hdmi_ctrl_inst|encode_inst0|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] &
+// ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add23~1 ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add23~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add23~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .lut_mask = 16'h694D;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add23~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst0|Add23~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add23~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add23~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .lut_mask = 16'h3C03;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add23~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add23~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add15~1
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] &
+// ((!\hdmi_ctrl_inst|encode_inst0|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0
+// [2] & !\hdmi_ctrl_inst|encode_inst0|Add15~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] &
+// (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add15~5
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] &
+// ((!\hdmi_ctrl_inst|encode_inst0|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add15~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add15~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~7 )
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add15~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .lut_mask = 16'hC3C3;
+defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add19~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add19~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .lut_mask = 16'h5A5F;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add19~3 & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add19~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add19~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add19~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .lut_mask = 16'hA50A;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
+// \hdmi_ctrl_inst|encode_inst0|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|Add22~1 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add22~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add22~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .lut_mask = 16'hA505;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add22~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst0|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add22~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add22~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .lut_mask = 16'h5AAF;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout &
+// (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout &
+// ((!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~4_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N13
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N3
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add20~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .lut_mask = 16'h66BB;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// (\hdmi_ctrl_inst|encode_inst1|Add20~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add20~1 ))))
+// \hdmi_ctrl_inst|encode_inst1|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
+// ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~1 ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add20~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add20~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .lut_mask = 16'h694D;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add20~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add20~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add20~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .lut_mask = 16'h3CCF;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add20~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add20~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst1|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] &
+// ((\hdmi_ctrl_inst|encode_inst1|Add17~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add17~1 )
+// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt
+// [2] & !\hdmi_ctrl_inst|encode_inst1|Add17~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add17~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .lut_mask = 16'h3C3F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add17~8_combout = \hdmi_ctrl_inst|encode_inst1|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add17~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add23~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .lut_mask = 16'h66DD;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// ((\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|Add23~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add23~1 ))))
+// \hdmi_ctrl_inst|encode_inst1|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((!\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst1|Add23~1 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add23~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add23~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .lut_mask = 16'h692B;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add23~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add23~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add23~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add23~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .lut_mask = 16'h3C03;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add23~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add23~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst1|cnt [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst1|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] &
+// ((\hdmi_ctrl_inst|encode_inst1|Add15~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add15~1 )
+// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt
+// [2] & !\hdmi_ctrl_inst|encode_inst1|Add15~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] &
+// (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add15~5
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] &
+// ((!\hdmi_ctrl_inst|encode_inst1|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add15~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add15~8_combout = \hdmi_ctrl_inst|encode_inst1|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add15~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst1|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add19~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC)))
+// \hdmi_ctrl_inst|encode_inst1|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst1|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add22~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .lut_mask = 16'h99EE;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
+// \hdmi_ctrl_inst|encode_inst1|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|Add22~1 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add22~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add22~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .lut_mask = 16'hA505;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add20~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .lut_mask = 16'h66DD;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [0] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add17~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst2|Add17~1 )
+// # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add17~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1
+// [2] & !\hdmi_ctrl_inst|encode_inst2|Add17~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add23~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .lut_mask = 16'h66BB;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst2|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add15~1 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst2|Add15~1 )
+// # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add19~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add19~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .lut_mask = 16'h5A5F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add19~3 & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add19~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add19~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add19~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .lut_mask = 16'hC30C;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
+// \hdmi_ctrl_inst|encode_inst2|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|cnt [2] & !\hdmi_ctrl_inst|encode_inst2|Add22~1 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add22~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add22~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .lut_mask = 16'hA505;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add22~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add22~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add22~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .lut_mask = 16'h3CCF;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X30_Y21_N27
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N23
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
+// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~5 ),
+ .combout(\vga_ctrl_inst|Add0~6_combout ),
+ .cout(\vga_ctrl_inst|Add0~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
+// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~3 ),
+ .combout(\vga_ctrl_inst|Add1~4_combout ),
+ .cout(\vga_ctrl_inst|Add1~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N23
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .lut_mask = 16'h0C0C;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .lut_mask = 16'h2F02;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N5
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N23
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [2]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .lut_mask = 16'hF0AA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))) #
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .lut_mask = 16'h8421;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N13
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N23
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .lut_mask = 16'h7130;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N19
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~1_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .lut_mask = 16'h87D2;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .lut_mask = 16'h0303;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .lut_mask = 16'h3030;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .lut_mask = 16'hF0CA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~0_combout
+// & (\hdmi_ctrl_inst|encode_inst0|Add20~6_combout & ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .lut_mask = 16'hE4AA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~4_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .lut_mask = 16'hE3E0;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~3_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~3_combout
+// & (((\hdmi_ctrl_inst|encode_inst0|Add20~4_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .lut_mask = 16'hACF0;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .lut_mask = 16'h5044;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .lut_mask = 16'hAF44;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~10 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~9_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add19~2_combout & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .lut_mask = 16'h4AEA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ) # ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add23~0_combout & !\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .lut_mask = 16'hF0AC;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~12 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst0|Add20~0_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .lut_mask = 16'hD8AA;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] &
+// (\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .lut_mask = 16'hA088;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst0|cnt [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .lut_mask = 16'h00CC;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N7
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .lut_mask = 16'hCC0F;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N19
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [4]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .lut_mask = 16'hFA44;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~0_combout &
+// (\hdmi_ctrl_inst|encode_inst1|Add20~6_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .lut_mask = 16'hF858;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .lut_mask = 16'hFC22;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~3_combout
+// & (\hdmi_ctrl_inst|encode_inst1|Add17~6_combout & (\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .lut_mask = 16'hEC2C;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .lut_mask = 16'hF50C;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .lut_mask = 16'hFA44;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~10 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~2_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .lut_mask = 16'hCAF0;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~0_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .lut_mask = 16'hE5E0;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~12 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst1|Add16~11_combout &
+// ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .lut_mask = 16'h770A;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] &
+// (\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .lut_mask = 16'hE040;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .lut_mask = 16'h0F00;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N25
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [5]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~2_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [2] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N19
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [4]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .lut_mask = 16'hD8D8;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .lut_mask = 16'h0E04;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .lut_mask = 16'hDFCC;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add22~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .lut_mask = 16'hBB50;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~10 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~9_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add19~2_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .lut_mask = 16'h58F8;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|condition_2~combout )) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~2_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~0_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .lut_mask = 16'hD9C8;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~12 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (\hdmi_ctrl_inst|encode_inst2|Add15~2_combout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~11_combout
+// & ((\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ))))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .lut_mask = 16'hDAD0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst2|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add15~0_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .lut_mask = 16'h8C80;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .lut_mask = 16'h0F00;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~2_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .lut_mask = 16'h959A;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .lut_mask = 16'hCF03;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N5
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) # (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .lut_mask = 16'hFFF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N31
+dffeas \vga_ctrl_inst|cnt_v[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[2]~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [10]) # ((\vga_ctrl_inst|cnt_h [11]) # ((\vga_ctrl_inst|cnt_h [7]) # (\vga_ctrl_inst|cnt_h [9])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [10]),
+ .datab(\vga_ctrl_inst|cnt_h [11]),
+ .datac(\vga_ctrl_inst|cnt_h [7]),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hFFFE;
+defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~4_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [5]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N3
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~5_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .lut_mask = 16'hA35C;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N15
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [6]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N17
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~3_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .lut_mask = 16'h993C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N29
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [7])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [7]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~4_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N1
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .lut_mask = 16'hD8D8;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .lut_mask = 16'h5A5A;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~4_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .lut_mask = 16'h959A;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N31
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~5_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .lut_mask = 16'hC53A;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N7
+dffeas \vga_ctrl_inst|cnt_h[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N10
+cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
+// Equation(s):
+// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|cnt_v [3] & (\vga_ctrl_inst|cnt_v [9] & !\vga_ctrl_inst|cnt_v [0])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|cnt_v [0]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0080;
+defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N30
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~4 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[2]~4_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~4_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [2]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~4_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|Add1~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[2]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2]~4 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[2]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .lut_mask = 16'h55AA;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N23
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .lut_mask = 16'hAA00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .lut_mask = 16'h5A5A;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .lut_mask = 16'h7744;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N29
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .lut_mask = 16'hCC00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N4
+cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
+// Equation(s):
+// \vga_pic_inst|pix_data~22_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h00AA;
+defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|LessThan14~1 (
+// Equation(s):
+// \vga_pic_inst|LessThan14~1_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan14~1 .lut_mask = 16'hF000;
+defparam \vga_pic_inst|LessThan14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~24 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~24_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_pic_inst|LessThan14~1_combout & (!\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|LessThan14~1_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~24_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~24 .lut_mask = 16'h0002;
+defparam \vga_pic_inst|pix_data[13]~24 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data~29 (
+// Equation(s):
+// \vga_pic_inst|pix_data~29_combout = (\vga_ctrl_inst|pix_data_req~7_combout & ((\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout )) # (!\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~10_combout
+// ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~29_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~29 .lut_mask = 16'h2060;
+defparam \vga_pic_inst|pix_data~29 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout = \hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .lut_mask = 16'hA55A;
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N31
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~5_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [7] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .lut_mask = 16'h93C6;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N5
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .lut_mask = 16'hAA00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N31
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .lut_mask = 16'hAA00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .lut_mask = 16'h55AA;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst2|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .lut_mask = 16'h7722;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c0_reg2~q $
+// (!\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .lut_mask = 16'hD88D;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .lut_mask = 16'hCC55;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .lut_mask = 16'hC33C;
+defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~6_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
+// (((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .lut_mask = 16'hCAC5;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .lut_mask = 16'hAA0F;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N6
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~4 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~4_combout = (!\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~4 .lut_mask = 16'h0010;
+defparam \vga_pic_inst|LessThan17~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|pix_data~35 (
+// Equation(s):
+// \vga_pic_inst|pix_data~35_combout = (\vga_pic_inst|LessThan10~0_combout ) # ((\vga_pic_inst|pix_data[13]~11_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )))
+
+ .dataa(\vga_pic_inst|LessThan10~0_combout ),
+ .datab(\vga_pic_inst|pix_data[13]~11_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~35_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~35 .lut_mask = 16'hFFEF;
+defparam \vga_pic_inst|pix_data~35 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout = !\hdmi_ctrl_inst|encode_inst2|c0_reg2~q
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: CLKCTRL_G8
+cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .lut_mask = 16'hF0F0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~2_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~4_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~3_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~4_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~4_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~5_combout
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .lut_mask = 16'hCCCC;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N16
+cycloneive_io_obuf \ddc_scl~output (
+ .i(vcc),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(ddc_scl),
+ .obar());
+// synopsys translate_off
+defparam \ddc_scl~output .bus_hold = "false";
+defparam \ddc_scl~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y10_N16
+cycloneive_io_obuf \ddc_sda~output (
+ .i(vcc),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(ddc_sda),
+ .obar());
+// synopsys translate_off
+defparam \ddc_sda~output .bus_hold = "false";
+defparam \ddc_sda~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y21_N23
+cycloneive_io_obuf \tmds_clk_p~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_clk_p),
+ .obar());
+// synopsys translate_off
+defparam \tmds_clk_p~output .bus_hold = "false";
+defparam \tmds_clk_p~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y20_N2
+cycloneive_io_obuf \tmds_clk_n~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_clk_n),
+ .obar());
+// synopsys translate_off
+defparam \tmds_clk_n~output .bus_hold = "false";
+defparam \tmds_clk_n~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y22_N16
+cycloneive_io_obuf \tmds_data_p[0]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_p[0]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_p[0]~output .bus_hold = "false";
+defparam \tmds_data_p[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y23_N9
+cycloneive_io_obuf \tmds_data_p[1]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_p[1]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_p[1]~output .bus_hold = "false";
+defparam \tmds_data_p[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y24_N2
+cycloneive_io_obuf \tmds_data_p[2]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_p[2]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_p[2]~output .bus_hold = "false";
+defparam \tmds_data_p[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y22_N23
+cycloneive_io_obuf \tmds_data_n[0]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_n[0]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_n[0]~output .bus_hold = "false";
+defparam \tmds_data_n[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y23_N16
+cycloneive_io_obuf \tmds_data_n[1]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_n[1]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_n[1]~output .bus_hold = "false";
+defparam \tmds_data_n[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y24_N9
+cycloneive_io_obuf \tmds_data_n[2]~output (
+ .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(tmds_data_n[2]),
+ .obar());
+// synopsys translate_off
+defparam \tmds_data_n[2]~output .bus_hold = "false";
+defparam \tmds_data_n[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [0] $ (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1])
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .lut_mask = 16'h5A5A;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N19
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] $ (((\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [1])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .lut_mask = 16'h5AF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N9
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]) # (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .lut_mask = 16'hFAFA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N29
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .lut_mask = 16'h0C0C;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N25
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .lut_mask = 16'h0F00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N13
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y21_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .lut_mask = 16'h0A0A;
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X39_Y21_N31
+dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y15_N22
+cycloneive_io_ibuf \sys_clk~input (
+ .i(sys_clk),
+ .ibar(gnd),
+ .o(\sys_clk~input_o ));
+// synopsys translate_off
+defparam \sys_clk~input .bus_hold = "false";
+defparam \sys_clk~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: CLKCTRL_G9
+cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1]}),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock";
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y21_N25
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y20_N4
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
+// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
+
+ .dataa(\vga_ctrl_inst|cnt_v [0]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add1~0_combout ),
+ .cout(\vga_ctrl_inst|Add1~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h55AA;
+defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
+// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~1 ),
+ .combout(\vga_ctrl_inst|Add1~2_combout ),
+ .cout(\vga_ctrl_inst|Add1~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
+// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~5 ),
+ .combout(\vga_ctrl_inst|Add1~6_combout ),
+ .cout(\vga_ctrl_inst|Add1~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~3 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[3]~3_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~6_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [3]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~6_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|Add1~6_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[3]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3]~3 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[3]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X40_Y23_N0
+cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
+// Equation(s):
+// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y4_N1
+cycloneive_io_ibuf \sys_rst_n~input (
+ .i(sys_rst_n),
+ .ibar(gnd),
+ .o(\sys_rst_n~input_o ));
+// synopsys translate_off
+defparam \sys_rst_n~input .bus_hold = "false";
+defparam \sys_rst_n~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: FF_X40_Y23_N1
+dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .asdata(vcc),
+ .clrn(\sys_rst_n~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X40_Y23_N18
+cycloneive_lcell_comb \rst_n~0 (
+// Equation(s):
+// \rst_n~0_combout = ((!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\sys_rst_n~input_o )
+
+ .dataa(\sys_rst_n~input_o ),
+ .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .datac(gnd),
+ .datad(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .cin(gnd),
+ .combout(\rst_n~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \rst_n~0 .lut_mask = 16'h77FF;
+defparam \rst_n~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: CLKCTRL_G7
+cycloneive_clkctrl \rst_n~0clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\rst_n~0clkctrl_outclk ));
+// synopsys translate_off
+defparam \rst_n~0clkctrl .clock_type = "global clock";
+defparam \rst_n~0clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N5
+dffeas \vga_ctrl_inst|cnt_v[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[3]~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
+// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~7 ),
+ .combout(\vga_ctrl_inst|Add1~8_combout ),
+ .cout(\vga_ctrl_inst|Add1~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
+// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add0~0_combout ),
+ .cout(\vga_ctrl_inst|Add0~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
+defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N1
+dffeas \vga_ctrl_inst|cnt_h[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
+// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~1 ),
+ .combout(\vga_ctrl_inst|Add0~2_combout ),
+ .cout(\vga_ctrl_inst|Add0~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N3
+dffeas \vga_ctrl_inst|cnt_h[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
+// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~3 ),
+ .combout(\vga_ctrl_inst|Add0~4_combout ),
+ .cout(\vga_ctrl_inst|Add0~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N5
+dffeas \vga_ctrl_inst|cnt_h[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
+// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~7 ),
+ .combout(\vga_ctrl_inst|Add0~8_combout ),
+ .cout(\vga_ctrl_inst|Add0~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N9
+dffeas \vga_ctrl_inst|cnt_h[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
+// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~9 ),
+ .combout(\vga_ctrl_inst|Add0~10_combout ),
+ .cout(\vga_ctrl_inst|Add0~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
+// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [6]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~11 ),
+ .combout(\vga_ctrl_inst|Add0~12_combout ),
+ .cout(\vga_ctrl_inst|Add0~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N13
+dffeas \vga_ctrl_inst|cnt_h[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~12_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
+// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~13 ),
+ .combout(\vga_ctrl_inst|Add0~14_combout ),
+ .cout(\vga_ctrl_inst|Add0~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N15
+dffeas \vga_ctrl_inst|cnt_h[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~14_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
+// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~15 ),
+ .combout(\vga_ctrl_inst|Add0~16_combout ),
+ .cout(\vga_ctrl_inst|Add0~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [1] & (\vga_ctrl_inst|cnt_h [2] & \vga_ctrl_inst|cnt_h [0])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(\vga_ctrl_inst|cnt_h [1]),
+ .datac(\vga_ctrl_inst|cnt_h [2]),
+ .datad(\vga_ctrl_inst|cnt_h [0]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~1_combout ),
+ .datab(\vga_ctrl_inst|Add0~16_combout ),
+ .datac(\vga_ctrl_inst|Equal0~0_combout ),
+ .datad(\vga_ctrl_inst|Equal0~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h4CCC;
+defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N27
+dffeas \vga_ctrl_inst|cnt_h[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~2_combout = (!\vga_ctrl_inst|cnt_h [5] & (\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|cnt_h [6] & \vga_ctrl_inst|cnt_h [8])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(\vga_ctrl_inst|cnt_h [6]),
+ .datad(\vga_ctrl_inst|cnt_h [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0400;
+defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~18_combout = (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|Add0~17 )) # (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Add0~17 ) # (GND)))
+// \vga_ctrl_inst|Add0~19 = CARRY((!\vga_ctrl_inst|Add0~17 ) # (!\vga_ctrl_inst|cnt_h [9]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~17 ),
+ .combout(\vga_ctrl_inst|Add0~18_combout ),
+ .cout(\vga_ctrl_inst|Add0~19 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~1_combout = (\vga_ctrl_inst|Add0~18_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~1_combout ),
+ .datab(\vga_ctrl_inst|Add0~18_combout ),
+ .datac(\vga_ctrl_inst|Equal0~0_combout ),
+ .datad(\vga_ctrl_inst|Equal0~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h4CCC;
+defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N25
+dffeas \vga_ctrl_inst|cnt_h[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~20 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~20_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add0~19 $ (GND))) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add0~19 & VCC))
+// \vga_ctrl_inst|Add0~21 = CARRY((\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add0~19 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [10]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~19 ),
+ .combout(\vga_ctrl_inst|Add0~20_combout ),
+ .cout(\vga_ctrl_inst|Add0~21 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~20 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N21
+dffeas \vga_ctrl_inst|cnt_h[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~20_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[10] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~22 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~22_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add0~21 )
+
+ .dataa(\vga_ctrl_inst|cnt_h [11]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add0~21 ),
+ .combout(\vga_ctrl_inst|Add0~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~22 .lut_mask = 16'h5A5A;
+defparam \vga_ctrl_inst|Add0~22 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X31_Y23_N23
+dffeas \vga_ctrl_inst|cnt_h[11] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~22_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[11] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~1_combout = (!\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|cnt_h [11] & !\vga_ctrl_inst|cnt_h [10])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(\vga_ctrl_inst|cnt_h [11]),
+ .datad(\vga_ctrl_inst|cnt_h [10]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h0004;
+defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|Equal0~2_combout & (\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|Equal0~0_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Equal0~2_combout ),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|Equal0~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'hC000;
+defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~5 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[4]~5_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~8_combout ) # ((\vga_ctrl_inst|cnt_v [4] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~8_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [4]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[4]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4]~5 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[4]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N1
+dffeas \vga_ctrl_inst|cnt_v[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[4]~5_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
+// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [5]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~9 ),
+ .combout(\vga_ctrl_inst|Add1~10_combout ),
+ .cout(\vga_ctrl_inst|Add1~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~10 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[5]~10_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [5] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~10_combout ) # ((\vga_ctrl_inst|cnt_v [5] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~10_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [5]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[5]~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5]~10 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[5]~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N3
+dffeas \vga_ctrl_inst|cnt_v[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[5]~10_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
+// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~11 ),
+ .combout(\vga_ctrl_inst|Add1~12_combout ),
+ .cout(\vga_ctrl_inst|Add1~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N14
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~8 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[6]~8_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~12_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [6]) # ((\vga_ctrl_inst|Add1~12_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~12_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [6]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[6]~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6]~8 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[6]~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N15
+dffeas \vga_ctrl_inst|cnt_v[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[6]~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
+// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~13 ),
+ .combout(\vga_ctrl_inst|Add1~14_combout ),
+ .cout(\vga_ctrl_inst|Add1~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N28
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~7 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[7]~7_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~14_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [7]) # ((\vga_ctrl_inst|Add1~14_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~14_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[7]~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7]~7 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[7]~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N29
+dffeas \vga_ctrl_inst|cnt_v[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[7]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
+// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~15 ),
+ .combout(\vga_ctrl_inst|Add1~16_combout ),
+ .cout(\vga_ctrl_inst|Add1~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N26
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~6 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[8]~6_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~16_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [8]) # ((\vga_ctrl_inst|Add1~16_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~16_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [8]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[8]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8]~6 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[8]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N27
+dffeas \vga_ctrl_inst|cnt_v[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[8]~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N26
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~18_combout = (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|Add1~17 )) # (!\vga_ctrl_inst|cnt_v [9] & ((\vga_ctrl_inst|Add1~17 ) # (GND)))
+// \vga_ctrl_inst|Add1~19 = CARRY((!\vga_ctrl_inst|Add1~17 ) # (!\vga_ctrl_inst|cnt_v [9]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [9]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~17 ),
+ .combout(\vga_ctrl_inst|Add1~18_combout ),
+ .cout(\vga_ctrl_inst|Add1~19 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N8
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~9 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[9]~9_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~18_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [9]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~18_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|Add1~18_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[9]~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9]~9 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[9]~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N9
+dffeas \vga_ctrl_inst|cnt_v[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[9]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~20 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~20_combout = (\vga_ctrl_inst|cnt_v [10] & (\vga_ctrl_inst|Add1~19 $ (GND))) # (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|Add1~19 & VCC))
+// \vga_ctrl_inst|Add1~21 = CARRY((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Add1~19 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [10]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~19 ),
+ .combout(\vga_ctrl_inst|Add1~20_combout ),
+ .cout(\vga_ctrl_inst|Add1~21 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~20 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N6
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[10]~12 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[10]~12_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~20_combout ) # ((\vga_ctrl_inst|cnt_v [10] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~20_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [10]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[10]~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[10]~12 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[10]~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N7
+dffeas \vga_ctrl_inst|cnt_v[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[10]~12_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[10] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N30
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~22 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~22_combout = \vga_ctrl_inst|cnt_v [11] $ (\vga_ctrl_inst|Add1~21 )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [11]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add1~21 ),
+ .combout(\vga_ctrl_inst|Add1~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~22 .lut_mask = 16'h3C3C;
+defparam \vga_ctrl_inst|Add1~22 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y22_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~11 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[11]~11_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~22_combout ) # ((\vga_ctrl_inst|cnt_v [11] &
+// !\vga_ctrl_inst|Equal0~3_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datab(\vga_ctrl_inst|Add1~22_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [11]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[11]~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[11]~11 .lut_mask = 16'h44F4;
+defparam \vga_ctrl_inst|cnt_v[11]~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y22_N5
+dffeas \vga_ctrl_inst|cnt_v[11] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[11]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[11] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~8 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~8_combout = (!\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|cnt_v [11])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|cnt_v [10]),
+ .datad(\vga_ctrl_inst|cnt_v [11]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~8 .lut_mask = 16'h000F;
+defparam \vga_ctrl_inst|pix_data_req~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N12
+cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
+// Equation(s):
+// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|cnt_v [7])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [5]),
+ .datab(\vga_ctrl_inst|cnt_v [6]),
+ .datac(\vga_ctrl_inst|cnt_v [8]),
+ .datad(\vga_ctrl_inst|cnt_v [7]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N24
+cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
+// Equation(s):
+// \vga_ctrl_inst|always1~1_combout = (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|cnt_v [1] & (\vga_ctrl_inst|pix_data_req~8_combout & \vga_ctrl_inst|always1~0_combout )))
+
+ .dataa(\vga_ctrl_inst|cnt_v [4]),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(\vga_ctrl_inst|pix_data_req~8_combout ),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N20
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[11]~0_combout = ((\vga_ctrl_inst|always1~2_combout & \vga_ctrl_inst|always1~1_combout )) # (!\vga_ctrl_inst|Equal0~3_combout )
+
+ .dataa(\vga_ctrl_inst|always1~2_combout ),
+ .datab(\vga_ctrl_inst|always1~1_combout ),
+ .datac(\vga_ctrl_inst|Equal0~3_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[11]~0 .lut_mask = 16'h8F8F;
+defparam \vga_ctrl_inst|cnt_v[11]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[1]~1_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [1]) #
+// ((!\vga_ctrl_inst|cnt_v[11]~0_combout & \vga_ctrl_inst|Add1~2_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [1]),
+ .datad(\vga_ctrl_inst|Add1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[1]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1]~1 .lut_mask = 16'h7350;
+defparam \vga_ctrl_inst|cnt_v[1]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N1
+dffeas \vga_ctrl_inst|cnt_v[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[1]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[0]~2_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~0_combout & ((!\vga_ctrl_inst|cnt_v[11]~0_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & ((\vga_ctrl_inst|cnt_v [0]) # ((\vga_ctrl_inst|Add1~0_combout &
+// !\vga_ctrl_inst|cnt_v[11]~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Equal0~3_combout ),
+ .datab(\vga_ctrl_inst|Add1~0_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [0]),
+ .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[0]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0]~2 .lut_mask = 16'h50DC;
+defparam \vga_ctrl_inst|cnt_v[0]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N3
+dffeas \vga_ctrl_inst|cnt_v[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[0]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N16
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|cnt_v [3] & ((!\vga_ctrl_inst|cnt_v [0]) # (!\vga_ctrl_inst|cnt_v [1]))))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|cnt_v [0]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0105;
+defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N18
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|cnt_v [7])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(\vga_ctrl_inst|cnt_v [9]),
+ .datac(\vga_ctrl_inst|cnt_v [6]),
+ .datad(\vga_ctrl_inst|cnt_v [7]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N6
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~1_combout = (\vga_ctrl_inst|cnt_v [4] & (((!\vga_ctrl_inst|always1~0_combout )))) # (!\vga_ctrl_inst|cnt_v [4] & ((\vga_ctrl_inst|LessThan6~0_combout & (!\vga_ctrl_inst|pix_data_req~0_combout )) #
+// (!\vga_ctrl_inst|LessThan6~0_combout & ((!\vga_ctrl_inst|always1~0_combout )))))
+
+ .dataa(\vga_ctrl_inst|cnt_v [4]),
+ .datab(\vga_ctrl_inst|LessThan6~0_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h04BF;
+defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~2_combout = (!\vga_ctrl_inst|cnt_v [11] & (!\vga_ctrl_inst|cnt_h [11] & (!\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|cnt_h [10])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [11]),
+ .datab(\vga_ctrl_inst|cnt_h [11]),
+ .datac(\vga_ctrl_inst|cnt_v [10]),
+ .datad(\vga_ctrl_inst|cnt_h [10]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9]))))
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'h8C00;
+defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & (((!\vga_ctrl_inst|Equal0~1_combout ) # (!\vga_ctrl_inst|Equal0~2_combout )) # (!\vga_ctrl_inst|Equal0~0_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~0_combout ),
+ .datab(\vga_ctrl_inst|Equal0~2_combout ),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|Add0~10_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h7F00;
+defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N1
+dffeas \vga_ctrl_inst|cnt_h[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [1]),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~1_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
+defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~1_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~3_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
+defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~3_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~5_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
+defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~5_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~7_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [5]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~7_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~9_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00CF;
+defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
+// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~9_cout ),
+ .combout(\vga_ctrl_inst|Add2~10_combout ),
+ .cout(\vga_ctrl_inst|Add2~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
+defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
+// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~11 ),
+ .combout(\vga_ctrl_inst|Add2~12_combout ),
+ .cout(\vga_ctrl_inst|Add2~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
+// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~13 ),
+ .combout(\vga_ctrl_inst|Add2~14_combout ),
+ .cout(\vga_ctrl_inst|Add2~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hC303;
+defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|always0~1 (
+// Equation(s):
+// \vga_pic_inst|always0~1_combout = ((\vga_ctrl_inst|Add2~14_combout ) # (\vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|pix_data_req~7_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_pic_inst|always0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|always0~1 .lut_mask = 16'hFDFD;
+defparam \vga_pic_inst|always0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N30
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~2 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~2_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~2 .lut_mask = 16'h000A;
+defparam \vga_pic_inst|LessThan17~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~16_combout = (\vga_ctrl_inst|cnt_h [9] & ((GND) # (!\vga_ctrl_inst|Add2~15 ))) # (!\vga_ctrl_inst|cnt_h [9] & (\vga_ctrl_inst|Add2~15 $ (GND)))
+// \vga_ctrl_inst|Add2~17 = CARRY((\vga_ctrl_inst|cnt_h [9]) # (!\vga_ctrl_inst|Add2~15 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~15 ),
+ .combout(\vga_ctrl_inst|Add2~16_combout ),
+ .cout(\vga_ctrl_inst|Add2~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h3CCF;
+defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N18
+cycloneive_lcell_comb \vga_pic_inst|always0~2 (
+// Equation(s):
+// \vga_pic_inst|always0~2_combout = (\vga_ctrl_inst|Add2~18_combout ) # ((\vga_pic_inst|always0~1_combout ) # ((\vga_pic_inst|LessThan17~2_combout ) # (\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~18_combout ),
+ .datab(\vga_pic_inst|always0~1_combout ),
+ .datac(\vga_pic_inst|LessThan17~2_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|always0~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|always0~2 .lut_mask = 16'hFFFE;
+defparam \vga_pic_inst|always0~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N8
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~8 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~8_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~8 .lut_mask = 16'h3F3F;
+defparam \vga_pic_inst|pix_data[13]~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~18_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add2~17 & VCC)) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add2~17 ))
+// \vga_ctrl_inst|Add2~19 = CARRY((!\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add2~17 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [10]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~17 ),
+ .combout(\vga_ctrl_inst|Add2~18_combout ),
+ .cout(\vga_ctrl_inst|Add2~19 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~18 .lut_mask = 16'hA505;
+defparam \vga_ctrl_inst|Add2~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~9 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~9_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data[13]~8_combout & (!\vga_ctrl_inst|Add2~18_combout & !\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|pix_data[13]~8_combout ),
+ .datac(\vga_ctrl_inst|Add2~18_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~9 .lut_mask = 16'h0008;
+defparam \vga_pic_inst|pix_data[13]~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~20 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~20_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add2~19 )
+
+ .dataa(\vga_ctrl_inst|cnt_h [11]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add2~19 ),
+ .combout(\vga_ctrl_inst|Add2~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~20 .lut_mask = 16'h5A5A;
+defparam \vga_ctrl_inst|Add2~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|pix_x[11]~0 (
+// Equation(s):
+// \vga_ctrl_inst|pix_x[11]~0_combout = (\vga_ctrl_inst|Add2~20_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~20_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_x[11]~0 .lut_mask = 16'hFF55;
+defparam \vga_ctrl_inst|pix_x[11]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
+// Equation(s):
+// \vga_pic_inst|pix_data~16_combout = (!\vga_pic_inst|pix_data[9]~15_combout & (\vga_pic_inst|always0~2_combout & (\vga_pic_inst|pix_data[13]~9_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
+
+ .dataa(\vga_pic_inst|pix_data[9]~15_combout ),
+ .datab(\vga_pic_inst|always0~2_combout ),
+ .datac(\vga_pic_inst|pix_data[13]~9_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'h0040;
+defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
+// Equation(s):
+// \vga_pic_inst|pix_data~17_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~16_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~17_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0030;
+defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~34 (
+// Equation(s):
+// \vga_pic_inst|pix_data~34_combout = ((\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data~17_combout & !\vga_ctrl_inst|Add2~18_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|pix_data~16_combout ),
+ .datac(\vga_pic_inst|pix_data~17_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~34_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~34 .lut_mask = 16'h33B3;
+defparam \vga_pic_inst|pix_data~34 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y22_N10
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~5 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~5_combout = \vga_ctrl_inst|cnt_h [9] $ (\vga_ctrl_inst|cnt_h [8])
+
+ .dataa(\vga_ctrl_inst|cnt_h [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~5 .lut_mask = 16'h55AA;
+defparam \vga_ctrl_inst|pix_data_req~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y22_N12
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~6 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~6_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Equal0~0_combout ) # (!\vga_ctrl_inst|LessThan4~0_combout )))) # (!\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|LessThan4~0_combout &
+// (!\vga_ctrl_inst|Equal0~0_combout & \vga_ctrl_inst|cnt_h [9])))
+
+ .dataa(\vga_ctrl_inst|LessThan4~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|Equal0~0_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~6 .lut_mask = 16'h02C4;
+defparam \vga_ctrl_inst|pix_data_req~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~7 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~7_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & ((\vga_ctrl_inst|pix_data_req~5_combout ) # (\vga_ctrl_inst|pix_data_req~6_combout ))))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~5_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~6_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~7 .lut_mask = 16'hA800;
+defparam \vga_ctrl_inst|pix_data_req~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
+// Equation(s):
+// \vga_pic_inst|pix_data~12_combout = (\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~18_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'h0020;
+defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~11 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~11_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|pix_data_req~7_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~11 .lut_mask = 16'hEFAF;
+defparam \vga_pic_inst|pix_data[13]~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N22
+cycloneive_lcell_comb \vga_pic_inst|always0~0 (
+// Equation(s):
+// \vga_pic_inst|always0~0_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )) # (!\vga_pic_inst|pix_data[13]~11_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_pic_inst|pix_data[13]~11_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|always0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|always0~0 .lut_mask = 16'hFFBF;
+defparam \vga_pic_inst|always0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N18
+cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'h8800;
+defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N2
+cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
+// Equation(s):
+// \vga_pic_inst|pix_data~13_combout = (\vga_ctrl_inst|Add2~12_combout & (((\vga_pic_inst|always0~0_combout ) # (\vga_pic_inst|LessThan14~0_combout )))) # (!\vga_ctrl_inst|Add2~12_combout & (!\vga_pic_inst|pix_data~12_combout &
+// ((\vga_pic_inst|always0~0_combout ) # (\vga_pic_inst|LessThan14~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_pic_inst|pix_data~12_combout ),
+ .datac(\vga_pic_inst|always0~0_combout ),
+ .datad(\vga_pic_inst|LessThan14~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'hBBB0;
+defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N8
+cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
+// Equation(s):
+// \vga_pic_inst|pix_data~18_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & (!\vga_pic_inst|pix_data~13_combout & !\vga_ctrl_inst|pix_x[11]~0_combout ))) # (!\vga_pic_inst|pix_data~34_combout )
+
+ .dataa(\vga_pic_inst|pix_data[13]~10_combout ),
+ .datab(\vga_pic_inst|pix_data~34_combout ),
+ .datac(\vga_pic_inst|pix_data~13_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h3337;
+defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N9
+dffeas \vga_pic_inst|pix_data[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~18_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N30
+cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
+// Equation(s):
+// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((!\vga_pic_inst|LessThan14~0_combout & (!\vga_pic_inst|always0~0_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
+
+ .dataa(\vga_pic_inst|LessThan17~3_combout ),
+ .datab(\vga_pic_inst|LessThan14~0_combout ),
+ .datac(\vga_pic_inst|always0~0_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~19_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hAAAB;
+defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
+// Equation(s):
+// \vga_pic_inst|pix_data~20_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & \vga_pic_inst|pix_data~19_combout )) # (!\vga_pic_inst|pix_data~34_combout )
+
+ .dataa(\vga_pic_inst|pix_data[13]~10_combout ),
+ .datab(gnd),
+ .datac(\vga_pic_inst|pix_data~19_combout ),
+ .datad(\vga_pic_inst|pix_data~34_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h50FF;
+defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N11
+dffeas \vga_pic_inst|pix_data[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~20_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add6~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add6~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~3_combout & (\vga_pic_inst|pix_data [4] & \vga_pic_inst|pix_data [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .datac(\vga_pic_inst|pix_data [4]),
+ .datad(\vga_pic_inst|pix_data [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .lut_mask = 16'h8000;
+defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N25
+dffeas \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan4~0_combout = (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(\vga_ctrl_inst|cnt_h [6]),
+ .datad(\vga_ctrl_inst|cnt_h [5]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan4~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add4~0_combout = (\vga_ctrl_inst|cnt_h [8] & (((!\vga_ctrl_inst|cnt_h [7] & \vga_ctrl_inst|LessThan4~0_combout )) # (!\vga_ctrl_inst|cnt_h [9]))) # (!\vga_ctrl_inst|cnt_h [8] & ((\vga_ctrl_inst|cnt_h [9]) #
+// ((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|LessThan4~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|LessThan4~0_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .lut_mask = 16'h75AE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~4_combout = (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9])))
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'hAF00;
+defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[2]~1 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[2]~1_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [0] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [0]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[2]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[2]~1 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[2]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[2]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & ((!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .lut_mask = 16'h3A3A;
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N5
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add12~1_combout = (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] & \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .lut_mask = 16'h5500;
+defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N23
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) # (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .lut_mask = 16'hFEFE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N1
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .lut_mask = 16'h40F4;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst0|Add14~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .lut_mask = 16'h00FF;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N25
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst0|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst0|cnt [1] & VCC))
+// \hdmi_ctrl_inst|encode_inst0|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst0|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add19~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst0|cnt [1] $ (VCC)))
+// \hdmi_ctrl_inst|encode_inst0|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst0|cnt [1]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|Add22~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .lut_mask = 16'h99EE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .lut_mask = 16'h7744;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .lut_mask = 16'h9090;
+defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N19
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~0_combout & (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .lut_mask = 16'hA4AE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~14 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~13_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add19~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .lut_mask = 16'h58F8;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~0 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[1]~0_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [4] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [4]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[1]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[1]~0 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[1]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N19
+dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[1]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X28_Y21_N1
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2])))) #
+// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .lut_mask = 16'h9009;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .lut_mask = 16'h00F0;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ) # (\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .lut_mask = 16'h00EE;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout &
+// (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout &
+// ((\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout &
+// ((!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add4~1_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout ))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .lut_mask = 16'hA000;
+defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N15
+dffeas \hdmi_ctrl_inst|encode_inst2|de_reg1 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|de_reg1~q
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .lut_mask = 16'hF0F0;
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst2|de_reg2 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N7
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~16 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|cnt [0])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .lut_mask = 16'h3F30;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~12_combout &
+// (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|cnt [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|cnt [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_2~combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] &
+// ((\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ) # ((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .lut_mask = 16'h44F4;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add22~6_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add22~5 )
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add22~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .lut_mask = 16'hC3C3;
+defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add19~6_combout = \hdmi_ctrl_inst|encode_inst0|Add19~5 $ (\hdmi_ctrl_inst|encode_inst0|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst0|Add19~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~6_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .lut_mask = 16'hFEDC;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .lut_mask = 16'h00FF;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N21
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .lut_mask = 16'hAEEE;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst0|Add20~2_combout )) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .lut_mask = 16'hEE50;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~7_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~7_combout
+// & (\hdmi_ctrl_inst|encode_inst0|Add17~4_combout & (\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .lut_mask = 16'hEC2C;
+defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst0|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ),
+ .cin(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ),
+ .combout(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .lut_mask = 16'hA55A;
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X29_Y21_N15
+dffeas \hdmi_ctrl_inst|encode_inst0|cnt[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst0|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [4] &
+// (\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & ((\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .lut_mask = 16'hFA0C;
+defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N27
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~1_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .lut_mask = 16'hB41E;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~1_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~1 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan0~1_combout = (!\vga_ctrl_inst|LessThan0~0_combout & (!\vga_ctrl_inst|cnt_h [8] & ((!\vga_ctrl_inst|cnt_h [6]) # (!\vga_ctrl_inst|cnt_h [5]))))
+
+ .dataa(\vga_ctrl_inst|LessThan0~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [5]),
+ .datac(\vga_ctrl_inst|cnt_h [6]),
+ .datad(\vga_ctrl_inst|cnt_h [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan0~1 .lut_mask = 16'h0015;
+defparam \vga_ctrl_inst|LessThan0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X30_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg1 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|LessThan0~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X30_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg2 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .lut_mask = 16'h3C3C;
+defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y21_N7
+dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y21_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out~2_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .lut_mask = 16'hA35C;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N19
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [3]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .lut_mask = 16'hCCAA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst0|data_out [1]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .lut_mask = 16'hDD88;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N31
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X30_Y21_N9
+dffeas \hdmi_ctrl_inst|encode_inst0|data_out[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [0]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst0|data_out [0]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .lut_mask = 16'hF0AA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N7
+dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y22_N18
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~30 (
+// Equation(s):
+// \vga_pic_inst|pix_data~30_combout = (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~18_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~20_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~30_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~30 .lut_mask = 16'h0010;
+defparam \vga_pic_inst|pix_data~30 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N12
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~3 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~3_combout = (\vga_pic_inst|LessThan17~4_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~18_combout )))
+
+ .dataa(\vga_pic_inst|LessThan17~4_combout ),
+ .datab(\vga_ctrl_inst|Add2~20_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~3 .lut_mask = 16'h0020;
+defparam \vga_pic_inst|LessThan17~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N8
+cycloneive_lcell_comb \vga_pic_inst|pix_data~31 (
+// Equation(s):
+// \vga_pic_inst|pix_data~31_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((\vga_pic_inst|pix_data~29_combout & \vga_pic_inst|pix_data~30_combout ))
+
+ .dataa(\vga_pic_inst|pix_data~29_combout ),
+ .datab(\vga_pic_inst|pix_data~30_combout ),
+ .datac(gnd),
+ .datad(\vga_pic_inst|LessThan17~3_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~31_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~31 .lut_mask = 16'hFF88;
+defparam \vga_pic_inst|pix_data~31 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X26_Y23_N9
+dffeas \vga_pic_inst|pix_data[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~31_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[6]~4 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[6]~4_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [8] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [8]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[6]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[6]~4 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[6]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N23
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[6]~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|pix_x[10]~1 (
+// Equation(s):
+// \vga_ctrl_inst|pix_x[10]~1_combout = (\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~18_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_x[10]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_x[10]~1 .lut_mask = 16'hF5F5;
+defparam \vga_ctrl_inst|pix_x[10]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N22
+cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
+// Equation(s):
+// \vga_pic_inst|pix_data~23_combout = (\vga_pic_inst|pix_data~22_combout & (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~16_combout )))
+
+ .dataa(\vga_pic_inst|pix_data~22_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~12_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~23_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'h0020;
+defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N2
+cycloneive_lcell_comb \vga_pic_inst|LessThan10~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan10~0_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|LessThan17~2_combout ) # (!\vga_ctrl_inst|Add2~14_combout ))))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_pic_inst|LessThan17~2_combout ),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan10~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan10~0 .lut_mask = 16'h00A2;
+defparam \vga_pic_inst|LessThan10~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
+// Equation(s):
+// \vga_pic_inst|pix_data~25_combout = (!\vga_ctrl_inst|pix_x[10]~1_combout & ((\vga_pic_inst|pix_data~23_combout ) # ((!\vga_pic_inst|pix_data[13]~24_combout & \vga_pic_inst|LessThan10~0_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data[13]~24_combout ),
+ .datab(\vga_ctrl_inst|pix_x[10]~1_combout ),
+ .datac(\vga_pic_inst|pix_data~23_combout ),
+ .datad(\vga_pic_inst|LessThan10~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~25_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h3130;
+defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~14 (
+// Equation(s):
+// \vga_pic_inst|pix_data[9]~14_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~14_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[9]~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9]~14 .lut_mask = 16'h00AA;
+defparam \vga_pic_inst|pix_data[9]~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y23_N14
+cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~15 (
+// Equation(s):
+// \vga_pic_inst|pix_data[9]~15_combout = (\vga_pic_inst|LessThan17~2_combout & (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~18_combout & \vga_pic_inst|pix_data[9]~14_combout )))
+
+ .dataa(\vga_pic_inst|LessThan17~2_combout ),
+ .datab(\vga_ctrl_inst|Add2~16_combout ),
+ .datac(\vga_ctrl_inst|Add2~18_combout ),
+ .datad(\vga_pic_inst|pix_data[9]~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[9]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9]~15 .lut_mask = 16'h0200;
+defparam \vga_pic_inst|pix_data[9]~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N22
+cycloneive_lcell_comb \vga_pic_inst|pix_data~36 (
+// Equation(s):
+// \vga_pic_inst|pix_data~36_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & !\vga_ctrl_inst|Add2~20_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|always0~2_combout ),
+ .datac(\vga_pic_inst|pix_data[9]~15_combout ),
+ .datad(\vga_ctrl_inst|Add2~20_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~36_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~36 .lut_mask = 16'h0008;
+defparam \vga_pic_inst|pix_data~36 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
+// Equation(s):
+// \vga_pic_inst|pix_data~21_combout = (!\vga_ctrl_inst|Add2~12_combout & (\vga_pic_inst|pix_data~12_combout & ((\vga_pic_inst|always0~0_combout ) # (\vga_pic_inst|LessThan14~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_pic_inst|pix_data~12_combout ),
+ .datac(\vga_pic_inst|always0~0_combout ),
+ .datad(\vga_pic_inst|LessThan14~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~21_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'h4440;
+defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N14
+cycloneive_lcell_comb \vga_pic_inst|pix_data~28 (
+// Equation(s):
+// \vga_pic_inst|pix_data~28_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~35_combout ),
+ .datab(\vga_pic_inst|pix_data~25_combout ),
+ .datac(\vga_pic_inst|pix_data~36_combout ),
+ .datad(\vga_pic_inst|pix_data~21_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~28_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~28 .lut_mask = 16'hE0C0;
+defparam \vga_pic_inst|pix_data~28 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N15
+dffeas \vga_pic_inst|pix_data[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~28_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[7]~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [9] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [9]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
+// Equation(s):
+// \vga_pic_inst|pix_data~26_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~35_combout ),
+ .datab(\vga_pic_inst|pix_data~25_combout ),
+ .datac(\vga_pic_inst|pix_data~36_combout ),
+ .datad(\vga_pic_inst|pix_data~21_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~26_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hE0C0;
+defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data~27 (
+// Equation(s):
+// \vga_pic_inst|pix_data~27_combout = (\vga_pic_inst|pix_data~26_combout ) # ((!\vga_pic_inst|pix_data[9]~15_combout & (!\vga_pic_inst|always0~2_combout & !\vga_ctrl_inst|pix_x[11]~0_combout )))
+
+ .dataa(\vga_pic_inst|pix_data[9]~15_combout ),
+ .datab(\vga_pic_inst|always0~2_combout ),
+ .datac(\vga_pic_inst|pix_data~26_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~27_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~27 .lut_mask = 16'hF0F1;
+defparam \vga_pic_inst|pix_data~27 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N21
+dffeas \vga_pic_inst|pix_data[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~27_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~2 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[10]~2_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [10] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [10]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[10]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[10]~2 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[10]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N17
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[10]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add13~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
+// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
+// (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .lut_mask = 16'hF690;
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (((!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]) # ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]) # (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .lut_mask = 16'h777E;
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])))) #
+// (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .lut_mask = 16'h0990;
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N11
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & \hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]) # ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .lut_mask = 16'h7150;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .lut_mask = 16'h0A8E;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add14~2_combout = (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [4] & ((\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ) # ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [4] &
+// (((\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .lut_mask = 16'hAAD8;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add5~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add5~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~1_combout & (\vga_pic_inst|pix_data [8] & ((\vga_pic_inst|pix_data [9]) # (\vga_pic_inst|pix_data [10]))))
+
+ .dataa(\vga_pic_inst|pix_data [9]),
+ .datab(\vga_pic_inst|pix_data [10]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ),
+ .datad(\vga_pic_inst|pix_data [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .lut_mask = 16'hE000;
+defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .lut_mask = 16'h7722;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y21_N7
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~16 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|cnt [0]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .lut_mask = 16'h44EE;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add19~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add19~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .lut_mask = 16'h5A5F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add19~3 & VCC))
+// \hdmi_ctrl_inst|encode_inst1|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add19~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add19~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add19~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .lut_mask = 16'hA50A;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add19~6_combout = \hdmi_ctrl_inst|encode_inst1|Add19~5 $ (\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add19~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add22~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst1|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add22~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|Add22~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .lut_mask = 16'h5AAF;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add22~6_combout = \hdmi_ctrl_inst|encode_inst1|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst1|Add22~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~6_combout )) #
+// (!\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .lut_mask = 16'hEFEA;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add13~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] &
+// (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .lut_mask = 16'hC0FC;
+defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y23_N23
+dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & (((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~7_combout &
+// (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .lut_mask = 16'h7A2A;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout &
+// (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout &
+// ((!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~12_combout &
+// (\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout &
+// (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout &
+// ((\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout &
+// ((!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~4_combout &
+// (\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst1|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ),
+ .cin(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ),
+ .combout(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .lut_mask = 16'hA55A;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N19
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N15
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [4] & (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|cnt [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [4]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|cnt [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst1|cnt [3])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .lut_mask = 16'h00CC;
+defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .lut_mask = 16'h00EE;
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N11
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .lut_mask = 16'hCCE2;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y21_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~14 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .lut_mask = 16'hE2CC;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N13
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~5 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~5_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .lut_mask = 16'hA7A2;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y22_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .lut_mask = 16'hECEC;
+defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X32_Y22_N17
+dffeas \hdmi_ctrl_inst|encode_inst1|cnt[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|condition_2~combout = (\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & (((!\hdmi_ctrl_inst|encode_inst1|cnt [3] & \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]))) #
+// (!\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .lut_mask = 16'h3B0A;
+defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out~1_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .lut_mask = 16'hA53C;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~1_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N1
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [3]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .lut_mask = 16'hCCAA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1])))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .lut_mask = 16'hD8D8;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N21
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst1|data_out[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [0]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]))
+
+ .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst1|data_out [0]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .lut_mask = 16'hCCAA;
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N31
+dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y23_N11
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~1_combout
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .lut_mask = 16'hAAAA;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y22_N22
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan1~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|always1~1_combout & (!\vga_ctrl_inst|cnt_v [3] & !\vga_ctrl_inst|cnt_v [9])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(\vga_ctrl_inst|always1~1_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|cnt_v [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'h0004;
+defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y22_N23
+dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg1 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|LessThan1~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|c1_reg1~q
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .lut_mask = 16'hFF00;
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N3
+dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg2 (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y22_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $
+// (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .lut_mask = 16'hAAC3;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X31_Y22_N25
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & \hdmi_ctrl_inst|encode_inst2|data_out [9])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out [9]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .lut_mask = 16'hCC00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N11
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .lut_mask = 16'hBB88;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N21
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [5]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [3]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N5
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y22_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out [1]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y22_N25
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~37 (
+// Equation(s):
+// \vga_pic_inst|pix_data~37_combout = ((\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data~23_combout & !\vga_ctrl_inst|Add2~18_combout ))) # (!\vga_pic_inst|pix_data~16_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(\vga_pic_inst|pix_data~16_combout ),
+ .datac(\vga_pic_inst|pix_data~23_combout ),
+ .datad(\vga_ctrl_inst|Add2~18_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~37_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~37 .lut_mask = 16'h33B3;
+defparam \vga_pic_inst|pix_data~37 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N6
+cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~10 (
+// Equation(s):
+// \vga_pic_inst|pix_data[13]~10_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (\vga_pic_inst|pix_data[13]~9_combout & !\vga_ctrl_inst|Add2~20_combout ))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~7_combout ),
+ .datab(gnd),
+ .datac(\vga_pic_inst|pix_data[13]~9_combout ),
+ .datad(\vga_ctrl_inst|Add2~20_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[13]~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13]~10 .lut_mask = 16'h00A0;
+defparam \vga_pic_inst|pix_data[13]~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N18
+cycloneive_lcell_comb \vga_pic_inst|pix_data~33 (
+// Equation(s):
+// \vga_pic_inst|pix_data~33_combout = (\vga_pic_inst|pix_data~37_combout & ((\vga_pic_inst|pix_data~19_combout ) # (\vga_pic_inst|pix_data[13]~10_combout )))
+
+ .dataa(gnd),
+ .datab(\vga_pic_inst|pix_data~37_combout ),
+ .datac(\vga_pic_inst|pix_data~19_combout ),
+ .datad(\vga_pic_inst|pix_data[13]~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~33_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~33 .lut_mask = 16'hCCC0;
+defparam \vga_pic_inst|pix_data~33 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N19
+dffeas \vga_pic_inst|pix_data[13] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~33_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|pix_data~32 (
+// Equation(s):
+// \vga_pic_inst|pix_data~32_combout = (\vga_pic_inst|pix_data~37_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & ((\vga_pic_inst|pix_data[13]~9_combout ) # (!\vga_pic_inst|pix_data~13_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data[13]~9_combout ),
+ .datab(\vga_pic_inst|pix_data~37_combout ),
+ .datac(\vga_pic_inst|pix_data~13_combout ),
+ .datad(\vga_ctrl_inst|pix_x[11]~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~32_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~32 .lut_mask = 16'h008C;
+defparam \vga_pic_inst|pix_data~32 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X28_Y23_N25
+dffeas \vga_pic_inst|pix_data[15] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~32_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add6~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\vga_pic_inst|pix_data [13] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_pic_inst|pix_data [15])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .datab(\vga_pic_inst|pix_data [13]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_pic_inst|pix_data [15]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .lut_mask = 16'h8000;
+defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .lut_mask = 16'h00FF;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[13]~6 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[13]~6_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [13] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [13]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[13]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[13]~6 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[13]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[13]~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~5 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[12]~5_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [15] & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & \vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datab(\vga_pic_inst|pix_data [15]),
+ .datac(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[12]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[12]~5 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|rgb[12]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X29_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|rgb[12]~5_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]) # ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) # (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .lut_mask = 16'hFFFA;
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N25
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add12~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .lut_mask = 16'h00AA;
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N15
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (!\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .lut_mask = 16'h5F50;
+defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N13
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .lut_mask = 16'h0C8E;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst2|Add14~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N21
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) #
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .lut_mask = 16'h8241;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y22_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_2~combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .lut_mask = 16'h0CAE;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(gnd),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add17~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .lut_mask = 16'h3C3F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .lut_mask = 16'hA050;
+defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y23_N27
+dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// ((\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|Add23~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add23~1 ))))
+// \hdmi_ctrl_inst|encode_inst2|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((!\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
+// (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|Add23~1 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add23~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add23~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .lut_mask = 16'h692B;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add23~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst2|Add23~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add23~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add23~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .lut_mask = 16'h5A05;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|condition_2~combout )) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~4_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .lut_mask = 16'hD9C8;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~16 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|cnt [0])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .lut_mask = 16'h7744;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC)))
+// \hdmi_ctrl_inst|encode_inst2|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1]) # (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add22~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .lut_mask = 16'h99EE;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N20
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) #
+// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add22~0_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .lut_mask = 16'hAA72;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & VCC))
+// \hdmi_ctrl_inst|encode_inst2|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & \hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add19~1 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .lut_mask = 16'h6688;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N30
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~14 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~13_combout & (((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~13_combout
+// & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .lut_mask = 16'h7C4C;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout &
+// (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout &
+// ((!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~12_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N5
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|cnt [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .datac(\hdmi_ctrl_inst|encode_inst2|cnt [1]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .lut_mask = 16'h0001;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y22_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst2|cnt [3])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .lut_mask = 16'h00F0;
+defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .lut_mask = 16'h00EE;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N3
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add15~3 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|cnt
+// [2] & !\hdmi_ctrl_inst|encode_inst2|Add15~3 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// (\hdmi_ctrl_inst|encode_inst2|Add20~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add20~1 ))))
+// \hdmi_ctrl_inst|encode_inst2|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] &
+// ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~1 ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add20~1 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add20~3 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .lut_mask = 16'h694D;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~7 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ) # (\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) #
+// (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add23~2_combout & ((!\hdmi_ctrl_inst|encode_inst2|condition_2~combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .lut_mask = 16'hAAE4;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~7_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~7_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add17~4_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .lut_mask = 16'hCFA0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
+// (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout &
+// ((!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N7
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] &
+// (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add15~5
+// ) # (GND)))))
+// \hdmi_ctrl_inst|encode_inst2|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] &
+// ((!\hdmi_ctrl_inst|encode_inst2|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add15~7 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .lut_mask = 16'h9617;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N24
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add20~3 $ (GND)))
+// \hdmi_ctrl_inst|encode_inst2|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add20~3 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|Add20~5 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .lut_mask = 16'h5AAF;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N14
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~3_combout & (\hdmi_ctrl_inst|encode_inst2|Add15~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~3_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ))))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .lut_mask = 16'hE6C4;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~4_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))) # (GND)
+// \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~6_combout &
+// (\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
+ .cout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .lut_mask = 16'h698E;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add22~6_combout = \hdmi_ctrl_inst|encode_inst2|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add22~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add19~6_combout = \hdmi_ctrl_inst|encode_inst2|Add19~5 $ (\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add19~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .lut_mask = 16'h0FF0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N22
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ))) #
+// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~6_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .lut_mask = 16'hFEF4;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add15~8_combout = \hdmi_ctrl_inst|encode_inst2|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add15~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add20~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add20~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add17~8_combout = \hdmi_ctrl_inst|encode_inst2|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add17~7 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .lut_mask = 16'hF00F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N12
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~6 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add23~5
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\hdmi_ctrl_inst|encode_inst2|Add23~5 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .lut_mask = 16'h0F0F;
+defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~8_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~6_combout )))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .lut_mask = 16'hEE50;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X39_Y23_N18
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add15~8_combout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~0_combout &
+// ((\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ))))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .lut_mask = 16'hDDA0;
+defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X38_Y23_N10
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst2|Add16~2_combout $ (\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ),
+ .cin(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ),
+ .combout(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .lut_mask = 16'hC33C;
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X38_Y23_N11
+dffeas \hdmi_ctrl_inst|encode_inst2|cnt[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N6
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst2|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [4] &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & (\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ))))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]),
+ .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .lut_mask = 16'hFC0A;
+defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N8
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout &
+// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ),
+ .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .lut_mask = 16'h7722;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N9
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ),
+ .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N28
+cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~8 (
+// Equation(s):
+// \hdmi_ctrl_inst|encode_inst2|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ),
+ .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ),
+ .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .lut_mask = 16'hCF03;
+defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N29
+dffeas \hdmi_ctrl_inst|encode_inst2|data_out[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N26
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2])
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out [8]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .lut_mask = 16'hCC00;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N27
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N4
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [6]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N5
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N16
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [4]),
+ .datab(gnd),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .lut_mask = 16'hAAF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N17
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N2
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2])))
+
+ .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [2]),
+ .datab(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]),
+ .datac(gnd),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .lut_mask = 16'hAACC;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N3
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N0
+cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 (
+// Equation(s):
+// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1])))
+
+ .dataa(gnd),
+ .datab(\hdmi_ctrl_inst|encode_inst2|data_out [0]),
+ .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]),
+ .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]),
+ .cin(gnd),
+ .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .lut_mask = 16'hCCF0;
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N1
+dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .is_wysiwyg = "true";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y24_N4
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
+ .datainhi(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y22_N25
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y23_N18
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+// Location: DDIOOUTCELL_X41_Y24_N11
+cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] (
+ .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]),
+ .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]),
+ .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ),
+ .clk(gnd),
+ .ena(vcc),
+ .areset(gnd),
+ .sreset(gnd),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]),
+ .dfflo(),
+ .dffhi());
+// synopsys translate_off
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none";
+defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true";
+// synopsys translate_on
+
+endmodule
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo
index e407381..72129fa 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo
@@ -1,9062 +1,9062 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This file contains Fast Corner delays for the design using part EP4CE15F23C8,
-// with speed grade M, core voltage 1.2V, and temperature 0 Celsius
-//
-
-//
-// This SDF file should be used for ModelSim (Verilog) only
-//
-
-(DELAYFILE
- (SDFVERSION "2.1")
- (DESIGN "hdmi_colorbar")
- (DATE "06/02/2023 04:17:19")
- (VENDOR "Altera")
- (PROGRAM "Quartus II 64-Bit")
- (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version")
- (DIVIDER .)
- (TIMESCALE 1 ps)
-
- (CELL
- (CELLTYPE "cycloneive_pll")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
- (DELAY
- (ABSOLUTE
- (PORT areset (2024:2024:2024) (2024:2024:2024))
- (PORT inclk[0] (1104:1104:1104) (1104:1104:1104))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (667:667:667) (769:769:769))
- (PORT clrn (860:860:860) (864:864:864))
- (PORT sload (600:600:600) (548:548:548))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (867:867:867))
- (PORT sclr (598:598:598) (581:581:581))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (867:867:867))
- (PORT sclr (598:598:598) (581:581:581))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (867:867:867))
- (PORT sclr (598:598:598) (581:581:581))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (666:666:666) (767:767:767))
- (PORT clrn (863:863:863) (867:867:867))
- (PORT sload (815:815:815) (744:744:744))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (633:633:633) (700:700:700))
- (PORT clrn (865:865:865) (868:868:868))
- (PORT sload (767:767:767) (696:696:696))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (748:748:748) (841:841:841))
- (PORT clrn (865:865:865) (868:868:868))
- (PORT sload (767:767:767) (696:696:696))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (425:425:425) (503:503:503))
- (PORT datab (252:252:252) (312:312:312))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (167:167:167) (158:158:158))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (231:231:231) (298:298:298))
- (PORT datab (313:313:313) (383:383:383))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (167:167:167) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (220:220:220) (280:280:280))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (223:223:223) (285:285:285))
- (PORT datab (144:144:144) (194:194:194))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (335:335:335) (398:398:398))
- (PORT datab (241:241:241) (302:302:302))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (333:333:333) (403:403:403))
- (PORT datab (234:234:234) (293:293:293))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (256:256:256) (316:316:316))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (250:250:250) (308:308:308))
- (IOPATH datab combout (188:188:188) (193:193:193))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (425:425:425) (503:503:503))
- (PORT datab (255:255:255) (315:315:315))
- (IOPATH dataa combout (166:166:166) (159:159:159))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (230:230:230) (296:296:296))
- (PORT datab (313:313:313) (383:383:383))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (218:218:218) (278:278:278))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (226:226:226) (288:288:288))
- (PORT datab (148:148:148) (199:199:199))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (338:338:338) (406:406:406))
- (PORT datab (244:244:244) (305:305:305))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (313:313:313) (380:380:380))
- (PORT datab (236:236:236) (295:295:295))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (351:351:351) (416:416:416))
- (PORT datab (258:258:258) (318:318:318))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (252:252:252) (310:310:310))
- (IOPATH datab combout (188:188:188) (193:193:193))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (155:155:155) (206:206:206))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (240:240:240) (293:293:293))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (106:106:106) (139:139:139))
- (PORT datab (105:105:105) (134:134:134))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (296:296:296) (342:342:342))
- (PORT datab (102:102:102) (131:131:131))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (174:174:174) (216:216:216))
- (PORT datab (103:103:103) (132:132:132))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (614:614:614) (671:671:671))
- (PORT clrn (863:863:863) (867:867:867))
- (PORT sload (815:815:815) (744:744:744))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (451:451:451) (539:539:539))
- (PORT datab (437:437:437) (522:522:522))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (167:167:167) (158:158:158))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (427:427:427) (507:507:507))
- (PORT datab (729:729:729) (860:860:860))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (167:167:167) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (355:355:355) (437:437:437))
- (IOPATH datab combout (188:188:188) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (334:334:334) (408:408:408))
- (PORT datab (209:209:209) (267:267:267))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (414:414:414))
- (PORT datab (430:430:430) (513:513:513))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (350:350:350) (420:420:420))
- (PORT datab (424:424:424) (508:508:508))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (346:346:346) (419:419:419))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (336:336:336) (407:407:407))
- (IOPATH dataa combout (188:188:188) (193:193:193))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (449:449:449) (537:537:537))
- (PORT datab (439:439:439) (523:523:523))
- (IOPATH dataa combout (166:166:166) (159:159:159))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (356:356:356) (438:438:438))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (703:703:703) (828:828:828))
- (PORT datab (346:346:346) (419:419:419))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (347:347:347) (420:420:420))
- (PORT datab (338:338:338) (414:414:414))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (335:335:335) (406:406:406))
- (IOPATH dataa combout (188:188:188) (193:193:193))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (335:335:335) (406:406:406))
- (PORT datab (238:238:238) (297:297:297))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (346:346:346) (418:418:418))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (329:329:329) (397:397:397))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (223:223:223) (278:278:278))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (337:337:337) (407:407:407))
- (PORT datab (238:238:238) (297:297:297))
- (IOPATH dataa combout (172:172:172) (165:165:165))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (169:169:169) (167:167:167))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (345:345:345) (417:417:417))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (328:328:328) (396:396:396))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (633:633:633) (701:701:701))
- (PORT clrn (865:865:865) (868:868:868))
- (PORT sload (767:767:767) (696:696:696))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (347:347:347) (429:429:429))
- (PORT datab (348:348:348) (419:419:419))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (167:167:167) (158:158:158))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (312:312:312) (380:380:380))
- (IOPATH datab combout (188:188:188) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (320:320:320) (377:377:377))
- (PORT datab (141:141:141) (188:188:188))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (213:213:213) (273:273:273))
- (PORT datab (311:311:311) (371:371:371))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (143:143:143) (194:194:194))
- (PORT datab (308:308:308) (367:367:367))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (318:318:318) (380:380:380))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (346:346:346) (428:428:428))
- (PORT datab (344:344:344) (415:415:415))
- (IOPATH dataa combout (166:166:166) (159:159:159))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (309:309:309) (377:377:377))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (311:311:311) (378:378:378))
- (PORT datab (148:148:148) (199:199:199))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (148:148:148) (201:201:201))
- (PORT datab (321:321:321) (390:390:390))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (329:329:329) (404:404:404))
- (PORT datab (143:143:143) (192:192:192))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~8)
- (DELAY
- (ABSOLUTE
- (PORT datad (148:148:148) (187:187:187))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (217:217:217) (273:273:273))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (218:218:218) (278:278:278))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (227:227:227) (277:277:277))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (346:346:346) (415:415:415))
- (PORT datab (327:327:327) (392:392:392))
- (IOPATH dataa combout (172:172:172) (165:165:165))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (169:169:169) (167:167:167))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (220:220:220) (276:276:276))
- (IOPATH datab combout (167:167:167) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (220:220:220) (281:281:281))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (229:229:229) (279:279:279))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (642:642:642) (715:715:715))
- (PORT clrn (868:868:868) (872:872:872))
- (PORT sload (781:781:781) (707:707:707))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (609:609:609) (668:668:668))
- (PORT clrn (863:863:863) (867:867:867))
- (PORT sload (693:693:693) (641:641:641))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (666:666:666) (769:769:769))
- (PORT clrn (860:860:860) (864:864:864))
- (PORT sload (600:600:600) (548:548:548))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (148:148:148) (200:200:200))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (375:375:375) (458:458:458))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (227:227:227) (283:283:283))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (218:218:218) (280:280:280))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (229:229:229) (285:285:285))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (144:144:144) (195:195:195))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (228:228:228) (285:285:285))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (214:214:214) (273:273:273))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (228:228:228) (284:284:284))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~18)
- (DELAY
- (ABSOLUTE
- (PORT datab (224:224:224) (280:280:280))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~20)
- (DELAY
- (ABSOLUTE
- (PORT dataa (143:143:143) (194:194:194))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (117:117:117) (157:157:157))
- (PORT datad (171:171:171) (227:227:227))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (869:869:869) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (862:862:862) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (232:232:232) (299:299:299))
- (PORT datab (249:249:249) (308:308:308))
- (PORT datac (202:202:202) (255:255:255))
- (PORT datad (197:197:197) (247:247:247))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (327:327:327) (396:396:396))
- (PORT datab (242:242:242) (303:303:303))
- (PORT datac (220:220:220) (273:273:273))
- (PORT datad (211:211:211) (261:261:261))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (347:347:347) (429:429:429))
- (PORT datab (347:347:347) (419:419:419))
- (PORT datac (340:340:340) (418:418:418))
- (PORT datad (314:314:314) (370:370:370))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datac (122:122:122) (166:166:166))
- (PORT datad (170:170:170) (226:226:226))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (308:308:308) (356:356:356))
- (PORT datab (332:332:332) (388:388:388))
- (PORT datac (274:274:274) (309:309:309))
- (PORT datad (103:103:103) (119:119:119))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (188:188:188) (177:177:177))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (287:287:287) (336:336:336))
- (PORT datab (104:104:104) (133:133:133))
- (PORT datac (267:267:267) (302:302:302))
- (PORT datad (288:288:288) (326:326:326))
- (IOPATH dataa combout (172:172:172) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (105:105:105) (137:137:137))
- (PORT datab (322:322:322) (375:375:375))
- (PORT datac (196:196:196) (236:236:236))
- (PORT datad (166:166:166) (196:196:196))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (188:188:188) (193:193:193))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (176:176:176) (219:219:219))
- (PORT datab (193:193:193) (232:232:232))
- (PORT datac (92:92:92) (113:113:113))
- (PORT datad (313:313:313) (355:355:355))
- (IOPATH dataa combout (165:165:165) (163:163:163))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (334:334:334) (387:387:387))
- (PORT datab (332:332:332) (388:388:388))
- (PORT datac (91:91:91) (113:113:113))
- (PORT datad (167:167:167) (197:197:197))
- (IOPATH dataa combout (165:165:165) (159:159:159))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (299:299:299) (351:351:351))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datac (196:196:196) (235:235:235))
- (PORT datad (312:312:312) (353:353:353))
- (IOPATH dataa combout (165:165:165) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (322:322:322))
- (PORT datab (186:186:186) (223:223:223))
- (PORT datac (158:158:158) (187:187:187))
- (PORT datad (201:201:201) (231:231:231))
- (IOPATH dataa combout (172:172:172) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (176:176:176) (219:219:219))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datac (196:196:196) (235:235:235))
- (PORT datad (311:311:311) (353:353:353))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (105:105:105) (137:137:137))
- (PORT datab (278:278:278) (327:327:327))
- (PORT datac (91:91:91) (113:113:113))
- (PORT datad (308:308:308) (349:349:349))
- (IOPATH dataa combout (172:172:172) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (216:216:216) (261:261:261))
- (PORT datac (316:316:316) (369:369:369))
- (PORT datad (132:132:132) (169:169:169))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (239:239:239) (298:298:298))
- (PORT datad (95:95:95) (114:114:114))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (517:517:517) (623:623:623))
- (PORT datad (487:487:487) (585:585:585))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (869:869:869) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (862:862:862) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (302:302:302) (371:371:371))
- (PORT datab (372:372:372) (449:449:449))
- (PORT datac (319:319:319) (365:365:365))
- (PORT datad (317:317:317) (363:363:363))
- (IOPATH dataa combout (195:195:195) (203:203:203))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (131:131:131) (182:182:182))
- (PORT datab (132:132:132) (180:180:180))
- (PORT datac (436:436:436) (540:540:540))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (350:350:350) (414:414:414))
- (PORT datab (105:105:105) (134:134:134))
- (PORT datac (174:174:174) (209:209:209))
- (PORT datad (433:433:433) (497:497:497))
- (IOPATH dataa combout (188:188:188) (179:179:179))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (270:270:270) (316:316:316))
- (PORT datab (103:103:103) (131:131:131))
- (PORT datac (90:90:90) (112:112:112))
- (PORT datad (432:432:432) (496:496:496))
- (IOPATH dataa combout (166:166:166) (163:163:163))
- (IOPATH datab combout (166:166:166) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (118:118:118) (150:150:150))
- (PORT datab (269:269:269) (316:316:316))
- (PORT datac (386:386:386) (437:437:437))
- (PORT datad (312:312:312) (381:381:381))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (400:400:400) (460:460:460))
- (PORT datab (172:172:172) (210:210:210))
- (PORT datac (89:89:89) (110:110:110))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (411:411:411) (474:474:474))
- (PORT datab (103:103:103) (131:131:131))
- (PORT datac (594:594:594) (693:693:693))
- (PORT datad (200:200:200) (235:235:235))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (278:278:278) (321:321:321))
- (PORT datab (101:101:101) (130:130:130))
- (PORT datac (396:396:396) (450:450:450))
- (PORT datad (322:322:322) (387:387:387))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (106:106:106) (138:138:138))
- (PORT datab (191:191:191) (231:231:231))
- (PORT datac (329:329:329) (392:392:392))
- (PORT datad (433:433:433) (498:498:498))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (104:104:104) (135:135:135))
- (PORT datab (102:102:102) (130:130:130))
- (PORT datac (162:162:162) (194:194:194))
- (PORT datad (433:433:433) (498:498:498))
- (IOPATH dataa combout (166:166:166) (159:159:159))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (333:333:333) (391:391:391))
- (PORT datac (199:199:199) (251:251:251))
- (PORT datad (316:316:316) (386:386:386))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (179:179:179) (217:217:217))
- (PORT datad (314:314:314) (383:383:383))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT asdata (321:321:321) (376:376:376))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (157:157:157) (213:213:213))
- (PORT datab (301:301:301) (350:350:350))
- (PORT datad (299:299:299) (339:339:339))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH datab combout (196:196:196) (205:205:205))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (105:105:105) (136:136:136))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datac (312:312:312) (369:369:369))
- (PORT datad (287:287:287) (326:326:326))
- (IOPATH dataa combout (165:165:165) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (328:328:328))
- (PORT datab (321:321:321) (381:381:381))
- (PORT datac (306:306:306) (357:357:357))
- (PORT datad (92:92:92) (110:110:110))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (188:188:188) (177:177:177))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (299:299:299) (348:348:348))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datac (90:90:90) (112:112:112))
- (PORT datad (304:304:304) (358:358:358))
- (IOPATH dataa combout (166:166:166) (163:163:163))
- (IOPATH datab combout (166:166:166) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (335:335:335) (393:393:393))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datac (289:289:289) (346:346:346))
- (PORT datad (295:295:295) (344:344:344))
- (IOPATH dataa combout (195:195:195) (193:193:193))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (105:105:105) (137:137:137))
- (PORT datab (323:323:323) (392:392:392))
- (PORT datac (160:160:160) (186:186:186))
- (PORT datad (294:294:294) (344:344:344))
- (IOPATH dataa combout (165:165:165) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (103:103:103) (135:135:135))
- (PORT datab (273:273:273) (318:318:318))
- (PORT datac (311:311:311) (363:363:363))
- (PORT datad (305:305:305) (359:359:359))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (175:175:175) (212:212:212))
- (PORT datab (190:190:190) (229:229:229))
- (PORT datac (90:90:90) (111:111:111))
- (PORT datad (304:304:304) (359:359:359))
- (IOPATH dataa combout (165:165:165) (163:163:163))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (336:336:336) (395:395:395))
- (PORT datab (221:221:221) (281:281:281))
- (PORT datac (90:90:90) (112:112:112))
- (PORT datad (296:296:296) (345:345:345))
- (IOPATH dataa combout (195:195:195) (193:193:193))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (156:156:156) (210:210:210))
- (PORT datac (309:309:309) (364:364:364))
- (PORT datad (309:309:309) (359:359:359))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (308:308:308) (355:355:355))
- (PORT datad (205:205:205) (257:257:257))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (391:391:391) (478:478:478))
- (PORT datac (412:412:412) (510:510:510))
- (PORT datad (386:386:386) (471:471:471))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (156:156:156) (212:212:212))
- (PORT datab (130:130:130) (178:178:178))
- (PORT datac (288:288:288) (331:331:331))
- (PORT datad (300:300:300) (342:342:342))
- (IOPATH dataa combout (181:181:181) (184:184:184))
- (IOPATH datab combout (192:192:192) (188:188:188))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (493:493:493) (588:588:588))
- (PORT datac (381:381:381) (469:469:469))
- (PORT datad (272:272:272) (316:316:316))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (326:326:326) (390:390:390))
- (PORT datab (146:146:146) (197:197:197))
- (PORT datac (133:133:133) (177:177:177))
- (PORT datad (133:133:133) (171:171:171))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (286:286:286) (339:339:339))
- (PORT datab (342:342:342) (412:412:412))
- (PORT datac (284:284:284) (321:321:321))
- (PORT datad (94:94:94) (113:113:113))
- (IOPATH dataa combout (166:166:166) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (460:460:460) (544:544:544))
- (PORT datad (485:485:485) (583:583:583))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (869:869:869) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (862:862:862) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (305:305:305) (374:374:374))
- (PORT datab (372:372:372) (450:450:450))
- (PORT datac (319:319:319) (365:365:365))
- (PORT datad (317:317:317) (363:363:363))
- (IOPATH dataa combout (195:195:195) (203:203:203))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (198:198:198) (254:254:254))
- (PORT datac (435:435:435) (540:540:540))
- (PORT datad (118:118:118) (155:155:155))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (134:134:134) (186:186:186))
- (PORT datab (429:429:429) (528:528:528))
- (PORT datac (116:116:116) (157:157:157))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT asdata (316:316:316) (361:361:361))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (153:153:153) (208:208:208))
- (PORT datab (306:306:306) (356:356:356))
- (PORT datad (293:293:293) (333:333:333))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH datab combout (196:196:196) (205:205:205))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (410:410:410) (507:507:507))
- (PORT datad (371:371:371) (451:451:451))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (128:128:128) (163:163:163))
- (PORT datab (132:132:132) (180:180:180))
- (PORT datac (219:219:219) (273:273:273))
- (PORT datad (112:112:112) (133:133:133))
- (IOPATH dataa combout (195:195:195) (193:193:193))
- (IOPATH datab combout (196:196:196) (192:192:192))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_x\[10\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (328:328:328) (387:387:387))
- (PORT datad (201:201:201) (234:234:234))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|always0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (362:362:362) (429:429:429))
- (PORT datac (463:463:463) (536:536:536))
- (PORT datad (357:357:357) (421:421:421))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|always0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (103:103:103) (134:134:134))
- (PORT datab (363:363:363) (422:422:422))
- (PORT datac (595:595:595) (684:684:684))
- (PORT datad (106:106:106) (125:125:125))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[9\]\~14)
- (DELAY
- (ABSOLUTE
- (PORT datac (463:463:463) (537:537:537))
- (PORT datad (347:347:347) (404:404:404))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (114:114:114) (150:150:150))
- (PORT datab (112:112:112) (143:143:143))
- (PORT datac (333:333:333) (393:393:393))
- (PORT datad (328:328:328) (385:385:385))
- (IOPATH dataa combout (165:165:165) (159:159:159))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (349:349:349) (416:416:416))
- (PORT datac (322:322:322) (380:380:380))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[10\]\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (104:104:104) (135:135:135))
- (PORT datab (556:556:556) (639:639:639))
- (PORT datad (213:213:213) (254:254:254))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[4\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (518:518:518) (623:623:623))
- (PORT datac (461:461:461) (545:545:545))
- (IOPATH datab combout (196:196:196) (205:205:205))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (335:335:335) (389:389:389))
- (PORT datab (370:370:370) (448:448:448))
- (PORT datad (317:317:317) (363:363:363))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (867:867:867))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (132:132:132) (182:182:182))
- (PORT datac (435:435:435) (540:540:540))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan10\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (347:347:347) (415:415:415))
- (PORT datab (333:333:333) (385:385:385))
- (PORT datac (199:199:199) (244:244:244))
- (PORT datad (204:204:204) (238:238:238))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~22)
- (DELAY
- (ABSOLUTE
- (PORT datac (328:328:328) (388:388:388))
- (PORT datad (178:178:178) (210:210:210))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~23)
- (DELAY
- (ABSOLUTE
- (PORT dataa (217:217:217) (262:262:262))
- (PORT datab (105:105:105) (134:134:134))
- (PORT datac (200:200:200) (246:246:246))
- (PORT datad (198:198:198) (233:233:233))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (166:166:166) (158:158:158))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan14\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (223:223:223) (264:264:264))
- (PORT datad (177:177:177) (210:210:210))
- (IOPATH dataa combout (166:166:166) (163:163:163))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~24)
- (DELAY
- (ABSOLUTE
- (PORT dataa (344:344:344) (412:412:412))
- (PORT datab (103:103:103) (131:131:131))
- (PORT datac (198:198:198) (244:244:244))
- (PORT datad (205:205:205) (238:238:238))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~25)
- (DELAY
- (ABSOLUTE
- (PORT dataa (110:110:110) (145:145:145))
- (PORT datab (290:290:290) (339:339:339))
- (PORT datac (91:91:91) (114:114:114))
- (PORT datad (94:94:94) (113:113:113))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[5\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (156:156:156) (209:209:209))
- (PORT datac (154:154:154) (212:212:212))
- (PORT datad (218:218:218) (268:268:268))
- (IOPATH datab combout (196:196:196) (205:205:205))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (330:330:330) (396:396:396))
- (PORT datab (308:308:308) (357:357:357))
- (PORT datac (358:358:358) (402:402:402))
- (PORT datad (310:310:310) (370:370:370))
- (IOPATH dataa combout (195:195:195) (193:193:193))
- (IOPATH datab combout (196:196:196) (192:192:192))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (133:133:133) (181:181:181))
- (PORT datac (409:409:409) (507:507:507))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[4\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (375:375:375) (455:455:455))
- (PORT datad (366:366:366) (441:441:441))
- (IOPATH datab combout (192:192:192) (181:181:181))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (132:132:132) (184:184:184))
- (PORT datab (417:417:417) (514:514:514))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (433:433:433) (518:518:518))
- (PORT datab (335:335:335) (398:398:398))
- (PORT datac (492:492:492) (594:594:594))
- (IOPATH dataa combout (181:181:181) (193:193:193))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[7\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (162:162:162) (218:218:218))
- (PORT datac (146:146:146) (203:203:203))
- (PORT datad (224:224:224) (274:274:274))
- (IOPATH datab combout (196:196:196) (205:205:205))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (238:238:238) (304:304:304))
- (PORT datab (147:147:147) (198:198:198))
- (PORT datac (490:490:490) (594:594:594))
- (PORT datad (103:103:103) (119:119:119))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH datab combout (188:188:188) (177:177:177))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (401:401:401) (490:490:490))
- (PORT datab (493:493:493) (589:589:589))
- (PORT datac (220:220:220) (274:274:274))
- (IOPATH dataa combout (181:181:181) (193:193:193))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~37)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (399:399:399))
- (PORT datab (218:218:218) (261:261:261))
- (PORT datac (334:334:334) (394:394:394))
- (PORT datad (95:95:95) (114:114:114))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (1120:1120:1120) (1119:1119:1119))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (161:161:161) (184:184:184))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT dataa (437:437:437) (501:501:501))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT dataa (330:330:330) (388:388:388))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (169:169:169) (198:198:198))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datab (444:444:444) (508:508:508))
- (IOPATH datab combout (168:168:168) (167:167:167))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (91:91:91) (109:109:109))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT dataa (105:105:105) (137:137:137))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_clk_p\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (1555:1555:1555) (1528:1528:1528))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_clk_n\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (1555:1555:1555) (1528:1528:1528))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_p\[0\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (1565:1565:1565) (1538:1538:1538))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_p\[1\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (1565:1565:1565) (1538:1538:1538))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_p\[2\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (1565:1565:1565) (1538:1538:1538))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_n\[0\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (1555:1555:1555) (1528:1528:1528))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_n\[1\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (1575:1575:1575) (1548:1548:1548))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_n\[2\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (1565:1565:1565) (1538:1538:1538))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (186:186:186) (250:250:250))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (190:190:190) (195:195:195))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~1)
- (DELAY
- (ABSOLUTE
- (PORT datad (122:122:122) (160:160:160))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT sclr (396:396:396) (485:485:485))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (130:130:130) (179:179:179))
- (PORT datad (122:122:122) (161:161:161))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT sclr (396:396:396) (485:485:485))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (131:131:131) (182:182:182))
- (PORT datad (171:171:171) (226:226:226))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (172:172:172) (226:226:226))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (188:188:188) (254:254:254))
- (PORT datac (120:120:120) (162:162:162))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datac (120:120:120) (163:163:163))
- (PORT datad (174:174:174) (228:228:228))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (134:134:134) (183:183:183))
- (PORT datad (171:171:171) (226:226:226))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (131:131:131) (179:179:179))
- (PORT datad (171:171:171) (225:225:225))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_clk\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (358:358:358) (738:738:738))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[1\]\~clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (1120:1120:1120) (1119:1119:1119))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (839:839:839) (834:834:834))
- (PORT D (525:525:525) (592:592:592))
- (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (231:231:231))
- (HOLD D (negedge ENA) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (839:839:839) (834:834:834))
- (PORT d (533:533:533) (579:579:579))
- (IOPATH (posedge clk) q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (40:40:40))
- (HOLD d (posedge clk) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (918:918:918) (937:937:937))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (243:243:243) (236:236:236))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (236:236:236))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (842:842:842) (837:837:837))
- (PORT D (397:397:397) (362:362:362))
- (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (231:231:231))
- (HOLD D (negedge ENA) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (842:842:842) (837:837:837))
- (PORT d (424:424:424) (379:379:379))
- (IOPATH (posedge clk) q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (40:40:40))
- (HOLD d (posedge clk) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (921:921:921) (940:940:940))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (243:243:243) (236:236:236))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (236:236:236))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (147:147:147) (196:196:196))
- (IOPATH datab combout (192:192:192) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_rst_n\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (318:318:318) (698:698:698))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
- (DELAY
- (ABSOLUTE
- (PORT clk (685:685:685) (607:607:607))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (2590:2590:2590) (2333:2333:2333))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE rst_n\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (2038:2038:2038) (2292:2292:2292))
- (PORT datab (132:132:132) (180:180:180))
- (PORT datad (364:364:364) (297:297:297))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE rst_n\~0clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (771:771:771) (835:835:835))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (146:146:146) (197:197:197))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (146:146:146) (196:196:196))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (141:141:141) (189:189:189))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (142:142:142) (190:190:190))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (160:160:160) (211:211:211))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (147:147:147) (200:200:200))
- (PORT datab (146:146:146) (196:196:196))
- (PORT datac (132:132:132) (175:175:175))
- (PORT datad (134:134:134) (172:172:172))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (157:157:157) (205:205:205))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~18)
- (DELAY
- (ABSOLUTE
- (PORT datab (162:162:162) (212:212:212))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~20)
- (DELAY
- (ABSOLUTE
- (PORT datab (157:157:157) (207:207:207))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~22)
- (DELAY
- (ABSOLUTE
- (PORT dataa (158:158:158) (211:211:211))
- (IOPATH dataa combout (195:195:195) (203:203:203))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[11\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (161:161:161) (214:214:214))
- (PORT datab (161:161:161) (211:211:211))
- (PORT datac (148:148:148) (191:191:191))
- (PORT datad (144:144:144) (183:183:183))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (421:421:421) (485:485:485))
- (PORT datab (356:356:356) (424:424:424))
- (PORT datac (350:350:350) (413:413:413))
- (PORT datad (438:438:438) (504:504:504))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (866:866:866) (871:871:871))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (859:859:859) (863:863:863))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (250:250:250) (311:311:311))
- (PORT datab (486:486:486) (577:577:577))
- (PORT datac (219:219:219) (275:275:275))
- (PORT datad (222:222:222) (273:273:273))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (123:123:123) (156:156:156))
- (PORT datab (106:106:106) (135:135:135))
- (PORT datac (107:107:107) (130:130:130))
- (PORT datad (280:280:280) (322:322:322))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (122:122:122) (156:156:156))
- (PORT datab (121:121:121) (151:151:151))
- (PORT datac (93:93:93) (115:115:115))
- (PORT datad (280:280:280) (322:322:322))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan4\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (484:484:484) (574:574:574))
- (PORT datac (218:218:218) (274:274:274))
- (PORT datad (222:222:222) (273:273:273))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (231:231:231) (291:291:291))
- (PORT datab (254:254:254) (315:315:315))
- (PORT datac (236:236:236) (293:293:293))
- (PORT datad (277:277:277) (318:318:318))
- (IOPATH dataa combout (195:195:195) (193:193:193))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (226:226:226) (279:279:279))
- (PORT datab (326:326:326) (385:385:385))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab cout (227:227:227) (175:175:175))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (226:226:226) (280:280:280))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (211:211:211) (266:266:266))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (240:240:240) (297:297:297))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~9)
- (DELAY
- (ABSOLUTE
- (PORT datab (480:480:480) (570:570:570))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~10)
- (DELAY
- (ABSOLUTE
- (PORT datab (241:241:241) (297:297:297))
- (IOPATH datab combout (167:167:167) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (220:220:220) (273:273:273))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (245:245:245) (305:305:305))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (243:243:243) (297:297:297))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~18)
- (DELAY
- (ABSOLUTE
- (PORT datab (240:240:240) (292:292:292))
- (IOPATH datab combout (167:167:167) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (357:357:357) (426:426:426))
- (PORT datab (220:220:220) (264:264:264))
- (PORT datac (201:201:201) (246:246:246))
- (PORT datad (203:203:203) (236:236:236))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (233:233:233) (293:293:293))
- (PORT datac (239:239:239) (296:296:296))
- (IOPATH dataa combout (195:195:195) (203:203:203))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (365:365:365) (429:429:429))
- (PORT datac (339:339:339) (399:399:399))
- (PORT datad (441:441:441) (507:507:507))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (175:175:175) (213:213:213))
- (PORT datab (161:161:161) (201:201:201))
- (PORT datad (465:465:465) (539:539:539))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (868:868:868) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (861:861:861) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (106:106:106) (137:137:137))
- (PORT datab (556:556:556) (640:640:640))
- (PORT datad (212:212:212) (253:253:253))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (321:321:321))
- (PORT datab (161:161:161) (201:201:201))
- (PORT datad (466:466:466) (540:540:540))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (868:868:868) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (861:861:861) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (146:146:146) (198:198:198))
- (PORT datab (145:145:145) (195:195:195))
- (PORT datac (206:206:206) (255:255:255))
- (PORT datad (132:132:132) (171:171:171))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (330:330:330))
- (PORT datab (158:158:158) (197:197:197))
- (PORT datad (471:471:471) (546:546:546))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (868:868:868) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (861:861:861) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (189:189:189) (226:226:226))
- (PORT datab (155:155:155) (195:195:195))
- (PORT datad (474:474:474) (549:549:549))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (868:868:868) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (861:861:861) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (301:301:301) (358:358:358))
- (PORT datab (119:119:119) (150:150:150))
- (PORT datac (135:135:135) (179:179:179))
- (PORT datad (136:136:136) (175:175:175))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (140:140:140) (188:188:188))
- (IOPATH datab combout (192:192:192) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (229:229:229) (273:273:273))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datad (548:548:548) (623:623:623))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (171:171:171) (210:210:210))
- (PORT datab (156:156:156) (195:195:195))
- (PORT datad (473:473:473) (548:548:548))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (868:868:868) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (861:861:861) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (154:154:154) (210:210:210))
- (PORT datab (159:159:159) (209:209:209))
- (PORT datac (213:213:213) (269:269:269))
- (PORT datad (137:137:137) (178:178:178))
- (IOPATH dataa combout (158:158:158) (163:163:163))
- (IOPATH datab combout (160:160:160) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (110:110:110) (140:140:140))
- (PORT datac (92:92:92) (114:114:114))
- (PORT datad (472:472:472) (547:547:547))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (298:298:298) (343:343:343))
- (PORT datab (161:161:161) (201:201:201))
- (PORT datad (466:466:466) (541:541:541))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (868:868:868) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (861:861:861) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (175:175:175) (212:212:212))
- (PORT datab (162:162:162) (202:202:202))
- (PORT datad (464:464:464) (539:539:539))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (868:868:868) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (861:861:861) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (228:228:228) (284:284:284))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (194:194:194) (233:233:233))
- (PORT datab (173:173:173) (207:207:207))
- (PORT datad (469:469:469) (544:544:544))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (868:868:868) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (861:861:861) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~22)
- (DELAY
- (ABSOLUTE
- (PORT datad (129:129:129) (166:166:166))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (235:235:235) (282:282:282))
- (PORT datab (103:103:103) (131:131:131))
- (PORT datad (542:542:542) (616:616:616))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[11\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (338:338:338) (405:405:405))
- (PORT datab (351:351:351) (418:418:418))
- (PORT datac (226:226:226) (278:278:278))
- (PORT datad (213:213:213) (258:258:258))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (293:293:293) (339:339:339))
- (PORT datac (328:328:328) (384:384:384))
- (PORT datad (96:96:96) (115:115:115))
- (IOPATH dataa combout (166:166:166) (163:163:163))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (210:210:210) (251:251:251))
- (PORT datab (253:253:253) (313:313:313))
- (PORT datac (236:236:236) (293:293:293))
- (PORT datad (276:276:276) (317:317:317))
- (IOPATH dataa combout (158:158:158) (163:163:163))
- (IOPATH datab combout (169:169:169) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (289:289:289) (342:342:342))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datac (100:100:100) (121:121:121))
- (PORT datad (93:93:93) (111:111:111))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (218:218:218) (262:262:262))
- (PORT datab (291:291:291) (342:342:342))
- (PORT datac (200:200:200) (245:245:245))
- (PORT datad (199:199:199) (235:235:235))
- (IOPATH dataa combout (158:158:158) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|always0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (218:218:218) (270:270:270))
- (PORT datab (219:219:219) (262:262:262))
- (PORT datac (337:337:337) (397:397:397))
- (PORT datad (94:94:94) (113:113:113))
- (IOPATH dataa combout (166:166:166) (157:157:157))
- (IOPATH datab combout (167:167:167) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (184:184:184) (226:226:226))
- (PORT datab (186:186:186) (227:227:227))
- (PORT datac (603:603:603) (689:689:689))
- (PORT datad (339:339:339) (400:400:400))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~17)
- (DELAY
- (ABSOLUTE
- (PORT dataa (219:219:219) (264:264:264))
- (PORT datac (199:199:199) (244:244:244))
- (PORT datad (200:200:200) (236:236:236))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~34)
- (DELAY
- (ABSOLUTE
- (PORT dataa (340:340:340) (400:400:400))
- (PORT datab (218:218:218) (262:262:262))
- (PORT datac (335:335:335) (395:395:395))
- (PORT datad (92:92:92) (110:110:110))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (218:218:218) (263:263:263))
- (PORT datad (199:199:199) (235:235:235))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (348:348:348) (417:417:417))
- (PORT datab (216:216:216) (259:259:259))
- (PORT datac (199:199:199) (244:244:244))
- (PORT datad (90:90:90) (107:107:107))
- (IOPATH dataa combout (165:165:165) (159:159:159))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (351:351:351) (418:418:418))
- (PORT datac (522:522:522) (589:589:589))
- (PORT datad (324:324:324) (376:376:376))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~18)
- (DELAY
- (ABSOLUTE
- (PORT dataa (347:347:347) (412:412:412))
- (PORT datab (108:108:108) (138:138:138))
- (PORT datac (320:320:320) (376:376:376))
- (PORT datad (101:101:101) (124:124:124))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~20)
- (DELAY
- (ABSOLUTE
- (PORT datad (210:210:210) (252:252:252))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (364:364:364) (432:432:432))
- (PORT datab (354:354:354) (417:417:417))
- (PORT datac (458:458:458) (532:532:532))
- (PORT datad (362:362:362) (427:427:427))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (354:354:354) (411:411:411))
- (PORT datab (352:352:352) (418:418:418))
- (PORT datac (595:595:595) (684:684:684))
- (PORT datad (90:90:90) (108:108:108))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan14\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (363:363:363) (430:430:430))
- (PORT datac (335:335:335) (397:397:397))
- (PORT datad (358:358:358) (423:423:423))
- (IOPATH dataa combout (166:166:166) (163:163:163))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~19)
- (DELAY
- (ABSOLUTE
- (PORT dataa (347:347:347) (412:412:412))
- (PORT datab (198:198:198) (234:234:234))
- (PORT datac (253:253:253) (286:286:286))
- (PORT datad (336:336:336) (397:397:397))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~20)
- (DELAY
- (ABSOLUTE
- (PORT datab (112:112:112) (144:144:144))
- (PORT datac (321:321:321) (377:377:377))
- (PORT datad (95:95:95) (114:114:114))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (441:441:441) (506:506:506))
- (PORT datab (333:333:333) (391:391:391))
- (PORT datac (121:121:121) (164:164:164))
- (PORT datad (122:122:122) (161:161:161))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datad (490:490:490) (587:587:587))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (869:869:869) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (862:862:862) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (869:869:869) (874:874:874))
- (PORT asdata (642:642:642) (727:727:727))
- (PORT clrn (862:862:862) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (235:235:235) (292:292:292))
- (PORT datab (149:149:149) (200:200:200))
- (PORT datac (135:135:135) (179:179:179))
- (PORT datad (141:141:141) (183:183:183))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (103:103:103) (134:134:134))
- (PORT datab (122:122:122) (153:153:153))
- (PORT datac (92:92:92) (114:114:114))
- (PORT datad (131:131:131) (171:171:171))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[1\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (482:482:482) (567:567:567))
- (PORT datab (574:574:574) (661:661:661))
- (PORT datac (123:123:123) (167:167:167))
- (PORT datad (311:311:311) (364:364:364))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[2\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (483:483:483) (568:568:568))
- (PORT datab (331:331:331) (389:389:389))
- (PORT datac (558:558:558) (640:640:640))
- (PORT datad (122:122:122) (160:160:160))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (517:517:517) (622:622:622))
- (PORT datac (462:462:462) (546:546:546))
- (PORT datad (489:489:489) (586:586:586))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datad (91:91:91) (109:109:109))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (869:869:869) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (862:862:862) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (217:217:217) (276:276:276))
- (PORT datab (353:353:353) (420:420:420))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (155:155:155) (205:205:205))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (155:155:155) (203:203:203))
- (IOPATH datab combout (196:196:196) (205:205:205))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (208:208:208) (265:265:265))
- (PORT datab (373:373:373) (451:451:451))
- (IOPATH dataa combout (172:172:172) (165:165:165))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (169:169:169) (167:167:167))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (238:238:238) (290:290:290))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (216:216:216) (258:258:258))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (215:215:215) (260:260:260))
- (PORT datab (184:184:184) (220:220:220))
- (PORT datac (90:90:90) (112:112:112))
- (PORT datad (165:165:165) (195:195:195))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (334:334:334) (387:387:387))
- (PORT datab (368:368:368) (445:445:445))
- (PORT datad (317:317:317) (362:362:362))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (869:869:869) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (862:862:862) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (203:203:203) (244:244:244))
- (PORT datab (106:106:106) (135:135:135))
- (PORT datac (314:314:314) (372:372:372))
- (PORT datad (317:317:317) (363:363:363))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (310:310:310) (377:377:377))
- (PORT datab (176:176:176) (213:213:213))
- (PORT datac (304:304:304) (350:350:350))
- (PORT datad (176:176:176) (208:208:208))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (515:515:515) (621:621:621))
- (PORT datac (463:463:463) (547:547:547))
- (PORT datad (491:491:491) (589:589:589))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (869:869:869) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (862:862:862) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (517:517:517) (622:622:622))
- (PORT datac (462:462:462) (546:546:546))
- (PORT datad (489:489:489) (587:587:587))
- (IOPATH datab combout (167:167:167) (174:174:174))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (869:869:869) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (862:862:862) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (203:203:203) (243:243:243))
- (PORT datab (106:106:106) (136:136:136))
- (PORT datac (327:327:327) (397:397:397))
- (PORT datad (317:317:317) (363:363:363))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (319:319:319) (373:373:373))
- (PORT datab (105:105:105) (134:134:134))
- (PORT datac (302:302:302) (355:355:355))
- (PORT datad (167:167:167) (196:196:196))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT asdata (612:612:612) (691:691:691))
- (PORT clrn (863:863:863) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (216:216:216) (261:261:261))
- (PORT datab (186:186:186) (223:223:223))
- (PORT datac (171:171:171) (204:204:204))
- (PORT datad (336:336:336) (396:396:396))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (232:232:232) (299:299:299))
- (PORT datab (249:249:249) (308:308:308))
- (PORT datac (202:202:202) (255:255:255))
- (PORT datad (197:197:197) (247:247:247))
- (IOPATH dataa combout (188:188:188) (179:179:179))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (327:327:327) (386:386:386))
- (PORT datad (170:170:170) (201:201:201))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (189:189:189) (225:225:225))
- (PORT datab (172:172:172) (210:210:210))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab cout (227:227:227) (175:175:175))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (292:292:292) (338:338:338))
- (PORT datab (189:189:189) (228:228:228))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (478:478:478) (561:561:561))
- (PORT datac (555:555:555) (637:637:637))
- (PORT datad (312:312:312) (364:364:364))
- (IOPATH dataa combout (166:166:166) (163:163:163))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg1)
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (119:119:119) (156:156:156))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2)
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (867:867:867))
- (PORT sclr (598:598:598) (581:581:581))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (107:107:107) (141:141:141))
- (PORT datab (338:338:338) (403:403:403))
- (PORT datac (239:239:239) (298:298:298))
- (PORT datad (173:173:173) (203:203:203))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (105:105:105) (137:137:137))
- (PORT datab (372:372:372) (450:450:450))
- (PORT datac (306:306:306) (364:364:364))
- (PORT datad (317:317:317) (363:363:363))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (174:174:174) (212:212:212))
- (PORT datad (90:90:90) (108:108:108))
- (IOPATH dataa combout (195:195:195) (203:203:203))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (867:867:867))
- (PORT sclr (598:598:598) (581:581:581))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (232:232:232) (299:299:299))
- (PORT datab (250:250:250) (309:309:309))
- (PORT datac (202:202:202) (255:255:255))
- (PORT datad (197:197:197) (247:247:247))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (189:189:189) (226:226:226))
- (PORT datab (339:339:339) (405:405:405))
- (PORT datac (233:233:233) (289:289:289))
- (PORT datad (167:167:167) (197:197:197))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (188:188:188) (193:193:193))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (302:302:302) (350:350:350))
- (PORT datab (142:142:142) (190:190:190))
- (PORT datad (295:295:295) (335:335:335))
- (IOPATH dataa combout (192:192:192) (184:184:184))
- (IOPATH datab combout (182:182:182) (193:193:193))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (273:273:273) (311:311:311))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (333:333:333) (401:401:401))
- (PORT datab (240:240:240) (293:293:293))
- (PORT datac (225:225:225) (279:279:279))
- (PORT datad (209:209:209) (252:252:252))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (250:250:250) (312:312:312))
- (PORT datab (242:242:242) (299:299:299))
- (PORT datac (470:470:470) (556:556:556))
- (PORT datad (90:90:90) (108:108:108))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg1)
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (867:867:867))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2)
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT asdata (294:294:294) (332:332:332))
- (PORT clrn (863:863:863) (867:867:867))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (666:666:666) (766:766:766))
- (PORT clrn (863:863:863) (867:867:867))
- (PORT sload (815:815:815) (744:744:744))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[7\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (516:516:516) (621:621:621))
- (PORT datad (490:490:490) (588:588:588))
- (IOPATH datab combout (192:192:192) (181:181:181))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (869:869:869) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (862:862:862) (866:866:866))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (335:335:335) (388:388:388))
- (PORT datab (370:370:370) (448:448:448))
- (PORT datac (302:302:302) (356:356:356))
- (PORT datad (317:317:317) (363:363:363))
- (IOPATH dataa combout (195:195:195) (203:203:203))
- (IOPATH datab combout (167:167:167) (174:174:174))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (248:248:248) (279:279:279))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (670:670:670) (770:770:770))
- (PORT clrn (863:863:863) (867:867:867))
- (PORT sload (815:815:815) (744:744:744))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (152:152:152) (208:208:208))
- (PORT datab (159:159:159) (209:209:209))
- (PORT datac (205:205:205) (253:253:253))
- (PORT datad (96:96:96) (116:116:116))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg1)
- (DELAY
- (ABSOLUTE
- (PORT clk (868:868:868) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (861:861:861) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (539:539:539) (618:618:618))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2)
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (303:303:303) (349:349:349))
- (PORT datab (507:507:507) (611:611:611))
- (PORT datac (416:416:416) (501:501:501))
- (PORT datad (349:349:349) (420:420:420))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (867:867:867))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (130:130:130) (178:178:178))
- (PORT datac (435:435:435) (539:539:539))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (137:137:137) (189:189:189))
- (PORT datac (435:435:435) (540:540:540))
- (PORT datad (118:118:118) (156:156:156))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (138:138:138) (190:190:190))
- (PORT datac (435:435:435) (539:539:539))
- (PORT datad (118:118:118) (154:154:154))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (131:131:131) (182:182:182))
- (PORT datac (435:435:435) (539:539:539))
- (PORT datad (117:117:117) (154:154:154))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (132:132:132) (181:181:181))
- (PORT datac (436:436:436) (540:540:540))
- (PORT datad (120:120:120) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (523:523:523) (596:596:596))
- (PORT clrn (863:863:863) (867:867:867))
- (PORT sload (693:693:693) (641:641:641))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (301:301:301) (346:346:346))
- (PORT datab (510:510:510) (613:613:613))
- (PORT datac (412:412:412) (497:497:497))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (863:863:863) (867:867:867))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (132:132:132) (182:182:182))
- (PORT datab (131:131:131) (179:179:179))
- (PORT datac (435:435:435) (540:540:540))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (187:187:187) (253:253:253))
- (PORT datac (356:356:356) (430:430:430))
- (PORT datad (347:347:347) (417:417:417))
- (IOPATH datab combout (188:188:188) (177:177:177))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (842:842:842) (837:837:837))
- (PORT D (514:514:514) (571:571:571))
- (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (231:231:231))
- (HOLD D (negedge ENA) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (842:842:842) (837:837:837))
- (PORT d (380:380:380) (411:411:411))
- (IOPATH (posedge clk) q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (40:40:40))
- (HOLD d (posedge clk) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (921:921:921) (940:940:940))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (243:243:243) (236:236:236))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (236:236:236))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (383:383:383) (452:452:452))
- (PORT datab (353:353:353) (416:416:416))
- (PORT datac (461:461:461) (534:534:534))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[9\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (105:105:105) (137:137:137))
- (PORT datab (361:361:361) (419:419:419))
- (PORT datac (594:594:594) (683:683:683))
- (PORT datad (106:106:106) (125:125:125))
- (IOPATH dataa combout (165:165:165) (159:159:159))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~35)
- (DELAY
- (ABSOLUTE
- (PORT dataa (111:111:111) (145:145:145))
- (PORT datab (219:219:219) (263:263:263))
- (PORT datac (338:338:338) (397:397:397))
- (PORT datad (95:95:95) (113:113:113))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~36)
- (DELAY
- (ABSOLUTE
- (PORT dataa (116:116:116) (152:152:152))
- (PORT datab (354:354:354) (420:420:420))
- (PORT datac (458:458:458) (532:532:532))
- (PORT datad (98:98:98) (119:119:119))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~21)
- (DELAY
- (ABSOLUTE
- (PORT dataa (183:183:183) (226:226:226))
- (PORT datab (185:185:185) (226:226:226))
- (PORT datac (602:602:602) (688:688:688))
- (PORT datad (338:338:338) (399:399:399))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~26)
- (DELAY
- (ABSOLUTE
- (PORT dataa (338:338:338) (407:407:407))
- (PORT datab (324:324:324) (384:384:384))
- (PORT datac (93:93:93) (117:117:117))
- (PORT datad (168:168:168) (198:198:198))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (167:167:167) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_x\[11\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (459:459:459) (524:524:524))
- (PORT datad (336:336:336) (393:393:393))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~27)
- (DELAY
- (ABSOLUTE
- (PORT dataa (114:114:114) (148:148:148))
- (PORT datab (114:114:114) (146:146:146))
- (PORT datac (90:90:90) (112:112:112))
- (PORT datad (329:329:329) (383:383:383))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[10\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (304:304:304) (356:356:356))
- (PORT datab (551:551:551) (628:628:628))
- (PORT datac (206:206:206) (264:264:264))
- (PORT datad (629:629:629) (700:700:700))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~29)
- (DELAY
- (ABSOLUTE
- (PORT dataa (361:361:361) (429:429:429))
- (PORT datab (353:353:353) (416:416:416))
- (PORT datac (465:465:465) (539:539:539))
- (PORT datad (355:355:355) (419:419:419))
- (IOPATH dataa combout (186:186:186) (179:179:179))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~30)
- (DELAY
- (ABSOLUTE
- (PORT dataa (476:476:476) (556:556:556))
- (PORT datab (353:353:353) (420:420:420))
- (PORT datac (594:594:594) (683:683:683))
- (PORT datad (342:342:342) (395:395:395))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~31)
- (DELAY
- (ABSOLUTE
- (PORT datab (102:102:102) (131:131:131))
- (PORT datac (92:92:92) (114:114:114))
- (PORT datad (104:104:104) (122:122:122))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[6\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (306:306:306) (358:358:358))
- (PORT datab (540:540:540) (614:614:614))
- (PORT datac (534:534:534) (605:605:605))
- (PORT datad (202:202:202) (255:255:255))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add5\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (211:211:211) (275:275:275))
- (PORT datab (276:276:276) (323:323:323))
- (PORT datac (208:208:208) (265:265:265))
- (PORT datad (206:206:206) (258:258:258))
- (IOPATH dataa combout (158:158:158) (163:163:163))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (226:226:226) (290:290:290))
- (PORT datab (164:164:164) (220:220:220))
- (PORT datac (152:152:152) (210:210:210))
- (PORT datad (220:220:220) (269:269:269))
- (IOPATH dataa combout (195:195:195) (193:193:193))
- (IOPATH datab combout (196:196:196) (192:192:192))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~28)
- (DELAY
- (ABSOLUTE
- (PORT dataa (334:334:334) (403:403:403))
- (PORT datab (323:323:323) (383:383:383))
- (PORT datac (97:97:97) (122:122:122))
- (PORT datad (165:165:165) (196:196:196))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (167:167:167) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (306:306:306) (358:358:358))
- (PORT datab (540:540:540) (614:614:614))
- (PORT datac (535:535:535) (605:605:605))
- (PORT datad (194:194:194) (244:244:244))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (155:155:155) (211:211:211))
- (PORT datab (166:166:166) (228:228:228))
- (PORT datac (138:138:138) (183:183:183))
- (PORT datad (205:205:205) (249:249:249))
- (IOPATH dataa combout (181:181:181) (184:184:184))
- (IOPATH datab combout (182:182:182) (188:188:188))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (164:164:164) (221:221:221))
- (PORT datac (153:153:153) (211:211:211))
- (PORT datad (219:219:219) (268:268:268))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (622:622:622) (741:741:741))
- (PORT datab (357:357:357) (432:432:432))
- (PORT datac (310:310:310) (374:374:374))
- (PORT datad (320:320:320) (384:384:384))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (624:624:624) (743:743:743))
- (PORT datab (357:357:357) (432:432:432))
- (PORT datac (311:311:311) (376:376:376))
- (PORT datad (318:318:318) (382:382:382))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (223:223:223) (286:286:286))
- (PORT datab (165:165:165) (227:227:227))
- (PORT datac (146:146:146) (195:195:195))
- (PORT datad (223:223:223) (273:273:273))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (865:865:865) (870:870:870))
- (PORT asdata (815:815:815) (930:930:930))
- (PORT clrn (858:858:858) (862:862:862))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (337:337:337) (412:412:412))
- (PORT datab (212:212:212) (270:270:270))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (613:613:613) (728:728:728))
- (PORT datab (345:345:345) (423:423:423))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (225:225:225) (288:288:288))
- (PORT datab (168:168:168) (231:231:231))
- (PORT datac (146:146:146) (197:197:197))
- (PORT datad (221:221:221) (271:271:271))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH datab combout (192:192:192) (181:181:181))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (426:426:426) (506:506:506))
- (PORT datab (732:732:732) (863:863:863))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (345:345:345) (408:408:408))
- (PORT datab (102:102:102) (131:131:131))
- (PORT datac (163:163:163) (197:197:197))
- (PORT datad (431:431:431) (495:495:495))
- (IOPATH dataa combout (188:188:188) (179:179:179))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (104:104:104) (135:135:135))
- (PORT datab (192:192:192) (231:231:231))
- (PORT datac (93:93:93) (115:115:115))
- (PORT datad (434:434:434) (498:498:498))
- (IOPATH dataa combout (165:165:165) (163:163:163))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (138:138:138) (184:184:184))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (103:103:103) (134:134:134))
- (PORT datab (104:104:104) (133:133:133))
- (PORT datac (314:314:314) (365:365:365))
- (PORT datad (342:342:342) (411:411:411))
- (IOPATH dataa combout (166:166:166) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (303:303:303) (352:352:352))
- (PORT datab (603:603:603) (686:686:686))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (866:866:866) (871:871:871))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (859:859:859) (863:863:863))
- (PORT sclr (591:591:591) (572:572:572))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (142:142:142) (193:193:193))
- (PORT datab (307:307:307) (372:372:372))
- (PORT datac (128:128:128) (169:169:169))
- (PORT datad (130:130:130) (167:167:167))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (112:112:112) (143:143:143))
- (PORT datad (135:135:135) (174:174:174))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (105:105:105) (136:136:136))
- (PORT datab (105:105:105) (134:134:134))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab cout (227:227:227) (175:175:175))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (301:301:301) (348:348:348))
- (PORT datab (170:170:170) (207:207:207))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (187:187:187) (223:223:223))
- (PORT datab (278:278:278) (320:320:320))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (866:866:866) (871:871:871))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (859:859:859) (863:863:863))
- (PORT sclr (591:591:591) (572:572:572))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (347:347:347) (410:410:410))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datac (89:89:89) (111:111:111))
- (PORT datad (431:431:431) (496:496:496))
- (IOPATH dataa combout (166:166:166) (159:159:159))
- (IOPATH datab combout (167:167:167) (158:158:158))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (104:104:104) (135:135:135))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datac (257:257:257) (290:290:290))
- (PORT datad (317:317:317) (368:368:368))
- (IOPATH dataa combout (165:165:165) (163:163:163))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (104:104:104) (135:135:135))
- (PORT datab (294:294:294) (340:340:340))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (866:866:866) (871:871:871))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (859:859:859) (863:863:863))
- (PORT sclr (591:591:591) (572:572:572))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (621:621:621) (740:740:740))
- (PORT datab (357:357:357) (433:433:433))
- (PORT datac (309:309:309) (374:374:374))
- (PORT datad (320:320:320) (385:385:385))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH datab combout (188:188:188) (177:177:177))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (336:336:336) (411:411:411))
- (PORT datab (146:146:146) (196:196:196))
- (PORT datac (283:283:283) (328:328:328))
- (PORT datad (98:98:98) (119:119:119))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (355:355:355) (409:409:409))
- (PORT datab (311:311:311) (360:360:360))
- (PORT datad (312:312:312) (372:372:372))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (192:192:192) (181:181:181))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (106:106:106) (138:138:138))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datac (394:394:394) (447:447:447))
- (PORT datad (195:195:195) (229:229:229))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (317:317:317) (370:370:370))
- (PORT datab (357:357:357) (433:433:433))
- (PORT datac (313:313:313) (378:378:378))
- (PORT datad (89:89:89) (106:106:106))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (866:866:866) (871:871:871))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (859:859:859) (863:863:863))
- (PORT sclr (591:591:591) (572:572:572))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (224:224:224) (279:279:279))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (272:272:272) (315:315:315))
- (PORT datab (288:288:288) (330:330:330))
- (PORT datac (284:284:284) (332:332:332))
- (PORT datad (299:299:299) (339:339:339))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (298:298:298) (349:349:349))
- (PORT datad (164:164:164) (194:194:194))
- (IOPATH dataa combout (195:195:195) (203:203:203))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (866:866:866) (871:871:871))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (859:859:859) (863:863:863))
- (PORT sclr (591:591:591) (572:572:572))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (340:340:340) (415:415:415))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datac (91:91:91) (113:113:113))
- (PORT datad (224:224:224) (279:279:279))
- (IOPATH dataa combout (166:166:166) (159:159:159))
- (IOPATH datab combout (167:167:167) (158:158:158))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT asdata (316:316:316) (360:360:360))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (154:154:154) (209:209:209))
- (PORT datab (306:306:306) (355:355:355))
- (PORT datad (294:294:294) (333:333:333))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH datab combout (196:196:196) (205:205:205))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (285:285:285) (327:327:327))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (666:666:666) (768:768:768))
- (PORT clrn (860:860:860) (864:864:864))
- (PORT sload (600:600:600) (548:548:548))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (297:297:297) (358:358:358))
- (PORT datab (309:309:309) (359:359:359))
- (PORT datac (357:357:357) (401:401:401))
- (PORT datad (312:312:312) (371:371:371))
- (IOPATH dataa combout (195:195:195) (193:193:193))
- (IOPATH datab combout (196:196:196) (192:192:192))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (91:91:91) (108:108:108))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (669:669:669) (772:772:772))
- (PORT clrn (860:860:860) (864:864:864))
- (PORT sload (600:600:600) (548:548:548))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (132:132:132) (183:183:183))
- (PORT datab (131:131:131) (179:179:179))
- (PORT datac (409:409:409) (506:506:506))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (133:133:133) (184:184:184))
- (PORT datac (406:406:406) (504:504:504))
- (PORT datad (119:119:119) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (138:138:138) (189:189:189))
- (PORT datac (409:409:409) (507:507:507))
- (PORT datad (120:120:120) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (388:388:388) (473:473:473))
- (PORT datac (570:570:570) (665:665:665))
- (PORT datad (487:487:487) (574:574:574))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (865:865:865) (868:868:868))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (130:130:130) (178:178:178))
- (PORT datad (420:420:420) (513:513:513))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (138:138:138) (191:191:191))
- (PORT datab (436:436:436) (538:538:538))
- (PORT datac (117:117:117) (158:158:158))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (132:132:132) (184:184:184))
- (PORT datab (436:436:436) (539:539:539))
- (PORT datac (117:117:117) (157:157:157))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (137:137:137) (190:190:190))
- (PORT datab (436:436:436) (539:539:539))
- (PORT datad (118:118:118) (155:155:155))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (169:169:169) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (379:379:379) (462:462:462))
- (PORT datab (437:437:437) (540:540:540))
- (PORT datac (117:117:117) (158:158:158))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (844:844:844) (840:840:840))
- (PORT D (352:352:352) (394:394:394))
- (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (231:231:231))
- (HOLD D (negedge ENA) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (844:844:844) (840:840:840))
- (PORT d (528:528:528) (582:582:582))
- (IOPATH (posedge clk) q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (40:40:40))
- (HOLD d (posedge clk) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (923:923:923) (943:943:943))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (243:243:243) (236:236:236))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (236:236:236))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~33)
- (DELAY
- (ABSOLUTE
- (PORT dataa (330:330:330) (396:396:396))
- (PORT datab (113:113:113) (146:146:146))
- (PORT datad (94:94:94) (113:113:113))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[13\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[13\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (480:480:480) (564:564:564))
- (PORT datab (573:573:573) (659:659:659))
- (PORT datac (122:122:122) (166:166:166))
- (PORT datad (312:312:312) (364:364:364))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~32)
- (DELAY
- (ABSOLUTE
- (PORT dataa (330:330:330) (395:395:395))
- (PORT datab (107:107:107) (138:138:138))
- (PORT datac (329:329:329) (386:386:386))
- (PORT datad (334:334:334) (386:386:386))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[15\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (440:440:440) (506:506:506))
- (PORT datab (331:331:331) (389:389:389))
- (PORT datac (123:123:123) (166:166:166))
- (PORT datad (201:201:201) (247:247:247))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[12\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (480:480:480) (564:564:564))
- (PORT datab (572:572:572) (659:659:659))
- (PORT datac (130:130:130) (172:172:172))
- (PORT datad (312:312:312) (364:364:364))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (395:395:395) (482:482:482))
- (PORT datac (418:418:418) (517:517:517))
- (PORT datad (388:388:388) (474:474:474))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (396:396:396) (483:483:483))
- (PORT datac (420:420:420) (519:519:519))
- (PORT datad (389:389:389) (475:475:475))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (410:410:410) (508:508:508))
- (PORT datad (385:385:385) (470:470:470))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (346:346:346) (428:428:428))
- (PORT datab (343:343:343) (414:414:414))
- (PORT datac (334:334:334) (411:411:411))
- (PORT datad (316:316:316) (373:373:373))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (418:418:418) (516:516:516))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (347:347:347) (429:429:429))
- (PORT datab (349:349:349) (421:421:421))
- (PORT datac (343:343:343) (421:421:421))
- (PORT datad (313:313:313) (369:369:369))
- (IOPATH dataa combout (188:188:188) (196:196:196))
- (IOPATH datab combout (190:190:190) (197:197:197))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (344:344:344) (411:411:411))
- (PORT datab (326:326:326) (391:391:391))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (103:103:103) (134:134:134))
- (PORT datab (105:105:105) (134:134:134))
- (PORT datac (310:310:310) (367:367:367))
- (PORT datad (286:286:286) (325:325:325))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (166:166:166) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (327:327:327) (403:403:403))
- (PORT datab (331:331:331) (397:397:397))
- (PORT datac (175:175:175) (211:211:211))
- (PORT datad (313:313:313) (362:362:362))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (158:158:158) (209:209:209))
- (PORT datab (151:151:151) (204:204:204))
- (PORT datac (132:132:132) (176:176:176))
- (PORT datad (134:134:134) (173:173:173))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (322:322:322) (381:381:381))
- (PORT datad (268:268:268) (304:304:304))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (390:390:390) (454:454:454))
- (PORT datab (377:377:377) (429:429:429))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab cout (227:227:227) (175:175:175))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (107:107:107) (139:139:139))
- (PORT datab (104:104:104) (133:133:133))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (879:879:879))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (871:871:871))
- (PORT sclr (774:774:774) (735:735:735))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (323:323:323) (387:387:387))
- (PORT datab (154:154:154) (207:207:207))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (304:304:304) (353:353:353))
- (PORT datab (103:103:103) (131:131:131))
- (PORT datac (317:317:317) (376:376:376))
- (PORT datad (311:311:311) (361:361:361))
- (IOPATH dataa combout (166:166:166) (163:163:163))
- (IOPATH datab combout (166:166:166) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (174:174:174) (213:213:213))
- (PORT datab (189:189:189) (228:228:228))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (274:274:274) (321:321:321))
- (PORT datab (103:103:103) (132:132:132))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (166:166:166) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (176:176:176) (214:214:214))
- (PORT datab (102:102:102) (130:130:130))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (191:191:191) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (879:879:879))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (871:871:871))
- (PORT sclr (774:774:774) (735:735:735))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datad (90:90:90) (107:107:107))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (293:293:293) (335:335:335))
- (PORT datab (303:303:303) (350:350:350))
- (PORT datac (320:320:320) (378:378:378))
- (PORT datad (210:210:210) (264:264:264))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (128:128:128) (163:163:163))
- (PORT datab (233:233:233) (293:293:293))
- (PORT datad (112:112:112) (133:133:133))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (103:103:103) (135:135:135))
- (PORT datab (310:310:310) (368:368:368))
- (PORT datac (304:304:304) (363:363:363))
- (PORT datad (160:160:160) (187:187:187))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH datab combout (167:167:167) (158:158:158))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (879:879:879))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (871:871:871))
- (PORT sclr (774:774:774) (735:735:735))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (327:327:327) (394:394:394))
- (PORT datab (355:355:355) (434:434:434))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (190:190:190) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (325:325:325) (392:392:392))
- (PORT datab (360:360:360) (440:440:440))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab combout (167:167:167) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (333:333:333) (387:387:387))
- (PORT datab (103:103:103) (131:131:131))
- (PORT datac (91:91:91) (113:113:113))
- (PORT datad (305:305:305) (360:360:360))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (302:302:302) (352:352:352))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datac (257:257:257) (293:293:293))
- (PORT datad (312:312:312) (361:361:361))
- (IOPATH dataa combout (165:165:165) (163:163:163))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (879:879:879))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (871:871:871))
- (PORT sclr (774:774:774) (735:735:735))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT asdata (643:643:643) (728:728:728))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~8)
- (DELAY
- (ABSOLUTE
- (PORT datad (324:324:324) (378:378:378))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (398:398:398))
- (PORT datab (175:175:175) (215:215:215))
- (PORT datac (270:270:270) (312:312:312))
- (PORT datad (297:297:297) (347:347:347))
- (IOPATH dataa combout (188:188:188) (184:184:184))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (295:295:295) (340:340:340))
- (PORT datab (102:102:102) (130:130:130))
- (PORT datac (264:264:264) (299:299:299))
- (PORT datad (297:297:297) (347:347:347))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (169:169:169) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (176:176:176) (218:218:218))
- (PORT datad (162:162:162) (192:192:192))
- (IOPATH dataa combout (195:195:195) (203:203:203))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (879:879:879))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (871:871:871))
- (PORT sclr (774:774:774) (735:735:735))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sclr (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (288:288:288) (335:335:335))
- (PORT datab (287:287:287) (336:336:336))
- (PORT datac (323:323:323) (374:374:374))
- (PORT datad (210:210:210) (263:263:263))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT asdata (588:588:588) (684:684:684))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (304:304:304) (356:356:356))
- (PORT datab (319:319:319) (367:367:367))
- (PORT datad (141:141:141) (185:185:185))
- (IOPATH dataa combout (192:192:192) (184:184:184))
- (IOPATH datab combout (191:191:191) (188:188:188))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (320:320:320) (374:374:374))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (749:749:749) (842:842:842))
- (PORT clrn (865:865:865) (868:868:868))
- (PORT sload (767:767:767) (696:696:696))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[7\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (408:408:408) (506:506:506))
- (PORT datad (384:384:384) (469:469:469))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (868:868:868) (872:872:872))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (157:157:157) (214:214:214))
- (PORT datab (129:129:129) (178:178:178))
- (PORT datac (288:288:288) (330:330:330))
- (PORT datad (300:300:300) (342:342:342))
- (IOPATH dataa combout (181:181:181) (184:184:184))
- (IOPATH datab combout (192:192:192) (188:188:188))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (272:272:272) (310:310:310))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (748:748:748) (841:841:841))
- (PORT clrn (865:865:865) (868:868:868))
- (PORT sload (767:767:767) (696:696:696))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (240:240:240) (307:307:307))
- (PORT datab (149:149:149) (200:200:200))
- (PORT datac (491:491:491) (596:596:596))
- (PORT datad (338:338:338) (394:394:394))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH datab combout (188:188:188) (177:177:177))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (860:860:860) (864:864:864))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (131:131:131) (179:179:179))
- (PORT datac (408:408:408) (505:505:505))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (867:867:867) (872:872:872))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (136:136:136) (187:187:187))
- (PORT datac (359:359:359) (438:438:438))
- (PORT datad (421:421:421) (515:515:515))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (137:137:137) (187:187:187))
- (PORT datac (117:117:117) (157:157:157))
- (PORT datad (422:422:422) (515:515:515))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (132:132:132) (184:184:184))
- (PORT datab (436:436:436) (538:538:538))
- (PORT datac (119:119:119) (160:160:160))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (133:133:133) (182:182:182))
- (PORT datac (379:379:379) (458:458:458))
- (PORT datad (118:118:118) (154:154:154))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (776:776:776) (875:875:875))
- (PORT clrn (868:868:868) (872:872:872))
- (PORT sload (781:781:781) (707:707:707))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (127:127:127) (163:163:163))
- (PORT datab (235:235:235) (296:296:296))
- (PORT datad (111:111:111) (132:132:132))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2\~_wirecell)
- (DELAY
- (ABSOLUTE
- (IOPATH datac combout (190:190:190) (195:195:195))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (PORT asdata (640:640:640) (713:713:713))
- (PORT clrn (868:868:868) (872:872:872))
- (PORT sload (781:781:781) (707:707:707))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- (HOLD sload (posedge clk) (84:84:84))
- (HOLD asdata (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (131:131:131) (182:182:182))
- (PORT datab (132:132:132) (181:181:181))
- (PORT datad (403:403:403) (491:491:491))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (133:133:133) (184:184:184))
- (PORT datab (415:415:415) (513:513:513))
- (PORT datad (119:119:119) (157:157:157))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (169:169:169) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (135:135:135) (187:187:187))
- (PORT datab (418:418:418) (515:515:515))
- (PORT datad (120:120:120) (158:158:158))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (169:169:169) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (130:130:130) (179:179:179))
- (PORT datac (392:392:392) (478:478:478))
- (PORT datad (119:119:119) (156:156:156))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (880:880:880))
- (PORT d (37:37:37) (50:50:50))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (847:847:847) (842:842:842))
- (PORT D (353:353:353) (396:396:396))
- (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (231:231:231))
- (HOLD D (negedge ENA) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (847:847:847) (842:842:842))
- (PORT d (538:538:538) (592:592:592))
- (IOPATH (posedge clk) q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (40:40:40))
- (HOLD d (posedge clk) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (926:926:926) (945:945:945))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (243:243:243) (236:236:236))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (236:236:236))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (842:842:842) (837:837:837))
- (PORT D (566:566:566) (519:519:519))
- (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (231:231:231))
- (HOLD D (negedge ENA) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (842:842:842) (837:837:837))
- (PORT d (416:416:416) (375:375:375))
- (IOPATH (posedge clk) q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (40:40:40))
- (HOLD d (posedge clk) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (921:921:921) (940:940:940))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (243:243:243) (236:236:236))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (236:236:236))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (844:844:844) (840:840:840))
- (PORT D (389:389:389) (357:357:357))
- (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (231:231:231))
- (HOLD D (negedge ENA) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (844:844:844) (840:840:840))
- (PORT d (587:587:587) (523:523:523))
- (IOPATH (posedge clk) q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (40:40:40))
- (HOLD d (posedge clk) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (923:923:923) (943:943:943))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (243:243:243) (236:236:236))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (236:236:236))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (847:847:847) (842:842:842))
- (PORT D (391:391:391) (358:358:358))
- (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (231:231:231))
- (HOLD D (negedge ENA) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (847:847:847) (842:842:842))
- (PORT d (597:597:597) (533:533:533))
- (IOPATH (posedge clk) q (103:103:103) (103:103:103))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (40:40:40))
- (HOLD d (posedge clk) (58:58:58))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (926:926:926) (945:945:945))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (243:243:243) (236:236:236))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (236:236:236))
- )
- )
-)
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This file contains Fast Corner delays for the design using part EP4CE15F23C8,
+// with speed grade M, core voltage 1.2V, and temperature 0 Celsius
+//
+
+//
+// This SDF file should be used for ModelSim (Verilog) only
+//
+
+(DELAYFILE
+ (SDFVERSION "2.1")
+ (DESIGN "hdmi_colorbar")
+ (DATE "04/29/2025 22:08:28")
+ (VENDOR "Altera")
+ (PROGRAM "Quartus II 32-bit")
+ (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition")
+ (DIVIDER .)
+ (TIMESCALE 1 ps)
+
+ (CELL
+ (CELLTYPE "cycloneive_pll")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
+ (DELAY
+ (ABSOLUTE
+ (PORT areset (2024:2024:2024) (2024:2024:2024))
+ (PORT inclk[0] (1104:1104:1104) (1104:1104:1104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (868:868:868) (875:875:875))
+ (PORT sclr (1202:1202:1202) (1097:1097:1097))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (868:868:868) (875:875:875))
+ (PORT sclr (1202:1202:1202) (1097:1097:1097))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (877:877:877) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (488:488:488) (538:538:538))
+ (PORT clrn (870:870:870) (876:876:876))
+ (PORT sload (723:723:723) (667:667:667))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (663:663:663) (751:751:751))
+ (PORT clrn (871:871:871) (877:877:877))
+ (PORT sload (497:497:497) (467:467:467))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (365:365:365) (448:448:448))
+ (PORT datab (351:351:351) (428:428:428))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (167:167:167) (158:158:158))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (354:354:354) (434:434:434))
+ (PORT datab (474:474:474) (565:565:565))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (167:167:167) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (353:353:353) (431:431:431))
+ (IOPATH datab combout (188:188:188) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (224:224:224) (287:287:287))
+ (PORT datab (136:136:136) (188:188:188))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (353:353:353) (431:431:431))
+ (PORT datab (236:236:236) (295:295:295))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (371:371:371) (449:449:449))
+ (PORT datab (355:355:355) (437:437:437))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (244:244:244) (301:301:301))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (244:244:244) (301:301:301))
+ (IOPATH datab combout (188:188:188) (193:193:193))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (366:366:366) (449:449:449))
+ (PORT datab (350:350:350) (427:427:427))
+ (IOPATH dataa combout (166:166:166) (159:159:159))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (355:355:355) (435:435:435))
+ (PORT datab (471:471:471) (562:562:562))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (355:355:355) (434:434:434))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (227:227:227) (290:290:290))
+ (PORT datab (142:142:142) (194:194:194))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (340:340:340) (409:409:409))
+ (PORT datab (238:238:238) (297:297:297))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (374:374:374) (453:453:453))
+ (PORT datab (335:335:335) (408:408:408))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (423:423:423))
+ (PORT datab (246:246:246) (303:303:303))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (245:245:245) (302:302:302))
+ (IOPATH datab combout (188:188:188) (193:193:193))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (252:252:252) (312:312:312))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (261:261:261) (320:320:320))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (148:148:148) (201:201:201))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (165:165:165) (219:219:219))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (313:313:313) (367:367:367))
+ (PORT datab (276:276:276) (324:324:324))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (283:283:283) (334:334:334))
+ (PORT datab (210:210:210) (252:252:252))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (873:873:873) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (941:941:941) (1069:1069:1069))
+ (PORT clrn (860:860:860) (863:863:863))
+ (PORT sload (911:911:911) (828:828:828))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (721:721:721) (796:796:796))
+ (PORT clrn (862:862:862) (866:866:866))
+ (PORT sload (759:759:759) (695:695:695))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (486:486:486) (576:576:576))
+ (PORT datab (524:524:524) (625:625:625))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (167:167:167) (158:158:158))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (514:514:514) (613:613:613))
+ (PORT datab (379:379:379) (454:454:454))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (379:379:379) (455:455:455))
+ (IOPATH datab combout (188:188:188) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (313:313:313) (385:385:385))
+ (PORT datab (506:506:506) (601:601:601))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (368:368:368) (446:446:446))
+ (PORT datab (392:392:392) (474:474:474))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (396:396:396) (473:473:473))
+ (PORT datab (382:382:382) (460:460:460))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (365:365:365) (443:443:443))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (454:454:454) (531:531:531))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (484:484:484) (574:574:574))
+ (PORT datab (523:523:523) (624:624:624))
+ (IOPATH dataa combout (166:166:166) (159:159:159))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (515:515:515) (615:615:615))
+ (PORT datab (376:376:376) (451:451:451))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (167:167:167) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (381:381:381) (457:457:457))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (315:315:315) (387:387:387))
+ (PORT datab (505:505:505) (599:599:599))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (367:367:367) (444:444:444))
+ (PORT datab (585:585:585) (682:682:682))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (378:378:378) (455:455:455))
+ (PORT datab (383:383:383) (461:461:461))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (493:493:493) (593:593:593))
+ (PORT datab (368:368:368) (445:445:445))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (457:457:457) (534:534:534))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (478:478:478) (565:565:565))
+ (PORT datab (319:319:319) (381:381:381))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (480:480:480) (568:568:568))
+ (PORT datab (318:318:318) (379:379:379))
+ (IOPATH dataa combout (172:172:172) (165:165:165))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (169:169:169) (167:167:167))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (225:225:225) (286:286:286))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (877:877:877) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (544:544:544) (622:622:622))
+ (PORT clrn (870:870:870) (876:876:876))
+ (PORT sload (723:723:723) (667:667:667))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (877:877:877) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (487:487:487) (537:537:537))
+ (PORT clrn (870:870:870) (876:876:876))
+ (PORT sload (723:723:723) (667:667:667))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (347:347:347) (416:416:416))
+ (PORT datab (340:340:340) (407:407:407))
+ (IOPATH dataa combout (166:166:166) (159:159:159))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (214:214:214) (273:273:273))
+ (PORT datab (141:141:141) (188:188:188))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (251:251:251) (314:314:314))
+ (PORT datab (321:321:321) (383:383:383))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (230:230:230) (295:295:295))
+ (PORT datab (316:316:316) (381:381:381))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (345:345:345) (414:414:414))
+ (PORT datab (342:342:342) (409:409:409))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (167:167:167) (158:158:158))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (317:317:317) (380:380:380))
+ (PORT datab (153:153:153) (205:205:205))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (224:224:224) (283:283:283))
+ (PORT datab (341:341:341) (409:409:409))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (228:228:228) (293:293:293))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (249:249:249) (307:307:307))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (231:231:231) (296:296:296))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (252:252:252) (310:310:310))
+ (IOPATH datab combout (188:188:188) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (914:914:914) (1034:1034:1034))
+ (PORT clrn (862:862:862) (866:866:866))
+ (PORT sload (759:759:759) (695:695:695))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (491:491:491) (541:541:541))
+ (PORT clrn (871:871:871) (877:877:877))
+ (PORT sload (497:497:497) (467:467:467))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (876:876:876))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (880:880:880) (971:971:971))
+ (PORT clrn (862:862:862) (869:869:869))
+ (PORT sload (1155:1155:1155) (1031:1031:1031))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (877:877:877) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (537:537:537) (614:614:614))
+ (PORT clrn (870:870:870) (876:876:876))
+ (PORT sload (723:723:723) (667:667:667))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (489:489:489) (539:539:539))
+ (PORT clrn (871:871:871) (877:877:877))
+ (PORT sload (497:497:497) (467:467:467))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (147:147:147) (200:200:200))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (234:234:234) (291:291:291))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (872:872:872))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (872:872:872))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (872:872:872))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (131:131:131) (179:179:179))
+ (PORT datac (168:168:168) (228:228:228))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (364:364:364) (446:446:446))
+ (PORT datab (353:353:353) (430:430:430))
+ (PORT datac (337:337:337) (407:407:407))
+ (PORT datad (342:342:342) (416:416:416))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (871:871:871))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (873:873:873) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (860:860:860) (863:863:863))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (131:131:131) (182:182:182))
+ (PORT datac (310:310:310) (375:375:375))
+ (PORT datad (513:513:513) (611:611:611))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (164:164:164) (217:217:217))
+ (PORT datab (150:150:150) (202:202:202))
+ (PORT datac (139:139:139) (185:185:185))
+ (PORT datad (138:138:138) (179:179:179))
+ (IOPATH dataa combout (188:188:188) (179:179:179))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (136:136:136) (186:186:186))
+ (PORT datac (118:118:118) (159:159:159))
+ (PORT datad (611:611:611) (720:720:720))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (155:155:155) (212:212:212))
+ (PORT datab (153:153:153) (207:207:207))
+ (PORT datac (137:137:137) (182:182:182))
+ (PORT datad (137:137:137) (176:176:176))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT asdata (616:616:616) (692:692:692))
+ (PORT clrn (872:872:872) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (309:309:309) (362:362:362))
+ (PORT datab (155:155:155) (202:202:202))
+ (PORT datad (114:114:114) (137:137:137))
+ (IOPATH dataa combout (192:192:192) (184:184:184))
+ (IOPATH datab combout (182:182:182) (193:193:193))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (871:871:871) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (872:872:872))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (191:191:191) (258:258:258))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (189:189:189) (256:256:256))
+ (PORT datac (122:122:122) (166:166:166))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (171:171:171) (209:209:209))
+ (PORT datab (103:103:103) (131:131:131))
+ (PORT datac (349:349:349) (408:408:408))
+ (PORT datad (350:350:350) (406:406:406))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (103:103:103) (134:134:134))
+ (PORT datab (287:287:287) (333:333:333))
+ (PORT datac (162:162:162) (190:190:190))
+ (PORT datad (350:350:350) (406:406:406))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH datab combout (167:167:167) (158:158:158))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (179:179:179) (222:222:222))
+ (PORT datab (376:376:376) (439:439:439))
+ (PORT datac (334:334:334) (387:387:387))
+ (PORT datad (93:93:93) (111:111:111))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (188:188:188) (177:177:177))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (301:301:301) (352:352:352))
+ (PORT datab (105:105:105) (134:134:134))
+ (PORT datac (93:93:93) (115:115:115))
+ (PORT datad (360:360:360) (418:418:418))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datab combout (166:166:166) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (360:360:360) (423:423:423))
+ (PORT datab (206:206:206) (246:246:246))
+ (PORT datac (92:92:92) (114:114:114))
+ (PORT datad (137:137:137) (161:161:161))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (218:218:218) (263:263:263))
+ (PORT datab (192:192:192) (233:233:233))
+ (PORT datac (303:303:303) (368:368:368))
+ (PORT datad (222:222:222) (258:258:258))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (105:105:105) (137:137:137))
+ (PORT datab (106:106:106) (135:135:135))
+ (PORT datac (109:109:109) (133:133:133))
+ (PORT datad (203:203:203) (254:254:254))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (176:176:176) (219:219:219))
+ (PORT datab (103:103:103) (132:132:132))
+ (PORT datac (336:336:336) (389:389:389))
+ (PORT datad (359:359:359) (417:417:417))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (104:104:104) (136:136:136))
+ (PORT datab (190:190:190) (228:228:228))
+ (PORT datac (90:90:90) (112:112:112))
+ (PORT datad (358:358:358) (416:416:416))
+ (IOPATH dataa combout (172:172:172) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (363:363:363) (431:431:431))
+ (PORT datab (103:103:103) (132:132:132))
+ (PORT datac (174:174:174) (208:208:208))
+ (PORT datad (343:343:343) (400:400:400))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (106:106:106) (137:137:137))
+ (PORT datad (151:151:151) (192:192:192))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (871:871:871))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (137:137:137) (189:189:189))
+ (PORT datac (188:188:188) (235:235:235))
+ (PORT datad (601:601:601) (702:702:702))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (634:634:634) (731:731:731))
+ (PORT datac (763:763:763) (893:893:893))
+ (PORT datad (495:495:495) (585:585:585))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (133:133:133) (182:182:182))
+ (PORT datac (186:186:186) (237:237:237))
+ (PORT datad (513:513:513) (612:612:612))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (464:464:464) (541:541:541))
+ (PORT datab (105:105:105) (134:134:134))
+ (PORT datac (175:175:175) (211:211:211))
+ (PORT datad (360:360:360) (421:421:421))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (464:464:464) (541:541:541))
+ (PORT datab (104:104:104) (133:133:133))
+ (PORT datac (89:89:89) (110:110:110))
+ (PORT datad (174:174:174) (206:206:206))
+ (IOPATH dataa combout (188:188:188) (203:203:203))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (104:104:104) (135:135:135))
+ (PORT datab (375:375:375) (441:441:441))
+ (PORT datac (89:89:89) (111:111:111))
+ (PORT datad (450:450:450) (515:515:515))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (104:104:104) (135:135:135))
+ (PORT datab (187:187:187) (224:224:224))
+ (PORT datac (441:441:441) (503:503:503))
+ (PORT datad (93:93:93) (111:111:111))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (196:196:196) (205:205:205))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (390:390:390) (472:472:472))
+ (PORT datab (105:105:105) (134:134:134))
+ (PORT datac (333:333:333) (398:398:398))
+ (PORT datad (205:205:205) (249:249:249))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (464:464:464) (540:540:540))
+ (PORT datab (102:102:102) (131:131:131))
+ (PORT datac (163:163:163) (197:197:197))
+ (PORT datad (354:354:354) (415:415:415))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (104:104:104) (135:135:135))
+ (PORT datab (193:193:193) (233:233:233))
+ (PORT datac (93:93:93) (115:115:115))
+ (PORT datad (450:450:450) (516:516:516))
+ (IOPATH dataa combout (165:165:165) (163:163:163))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (227:227:227) (275:275:275))
+ (PORT datab (103:103:103) (131:131:131))
+ (PORT datac (334:334:334) (399:399:399))
+ (PORT datad (92:92:92) (110:110:110))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (355:355:355) (415:415:415))
+ (PORT datab (524:524:524) (625:625:625))
+ (PORT datac (471:471:471) (551:551:551))
+ (PORT datad (305:305:305) (351:351:351))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (487:487:487) (579:579:579))
+ (PORT datab (104:104:104) (133:133:133))
+ (PORT datac (441:441:441) (502:502:502))
+ (PORT datad (90:90:90) (107:107:107))
+ (IOPATH dataa combout (166:166:166) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (374:374:374) (453:453:453))
+ (PORT datad (316:316:316) (370:370:370))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (132:132:132) (182:182:182))
+ (PORT datab (132:132:132) (181:181:181))
+ (PORT datad (612:612:612) (721:721:721))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT asdata (516:516:516) (585:585:585))
+ (PORT clrn (870:870:870) (875:875:875))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (160:160:160) (217:217:217))
+ (PORT datab (131:131:131) (165:165:165))
+ (PORT datad (352:352:352) (412:412:412))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH datab combout (196:196:196) (205:205:205))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (632:632:632) (754:754:754))
+ (PORT datab (132:132:132) (181:181:181))
+ (PORT datac (184:184:184) (235:235:235))
+ (IOPATH dataa combout (188:188:188) (184:184:184))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (127:127:127) (163:163:163))
+ (PORT datab (191:191:191) (230:230:230))
+ (PORT datac (294:294:294) (338:338:338))
+ (PORT datad (173:173:173) (205:205:205))
+ (IOPATH dataa combout (165:165:165) (159:159:159))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (335:335:335) (402:402:402))
+ (PORT datab (296:296:296) (342:342:342))
+ (PORT datac (311:311:311) (372:372:372))
+ (PORT datad (293:293:293) (337:337:337))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (332:332:332) (393:393:393))
+ (PORT datab (336:336:336) (400:400:400))
+ (PORT datac (280:280:280) (323:323:323))
+ (PORT datad (308:308:308) (352:352:352))
+ (IOPATH dataa combout (159:159:159) (173:173:173))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (293:293:293) (343:343:343))
+ (PORT datab (105:105:105) (134:134:134))
+ (PORT datac (271:271:271) (313:313:313))
+ (PORT datad (203:203:203) (246:246:246))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (333:333:333) (394:394:394))
+ (PORT datab (328:328:328) (376:376:376))
+ (PORT datac (255:255:255) (287:287:287))
+ (PORT datad (90:90:90) (107:107:107))
+ (IOPATH dataa combout (172:172:172) (165:165:165))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (340:340:340) (402:402:402))
+ (PORT datab (171:171:171) (208:208:208))
+ (PORT datac (92:92:92) (114:114:114))
+ (PORT datad (91:91:91) (108:108:108))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (173:173:173) (213:213:213))
+ (PORT datab (313:313:313) (363:363:363))
+ (PORT datac (322:322:322) (379:379:379))
+ (PORT datad (90:90:90) (107:107:107))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (468:468:468) (556:556:556))
+ (PORT datad (426:426:426) (488:488:488))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (204:204:204) (265:265:265))
+ (PORT datab (260:260:260) (326:326:326))
+ (PORT datac (330:330:330) (395:395:395))
+ (PORT datad (193:193:193) (225:225:225))
+ (IOPATH dataa combout (192:192:192) (184:184:184))
+ (IOPATH datab combout (182:182:182) (188:188:188))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (154:154:154) (206:206:206))
+ (PORT datac (490:490:490) (580:580:580))
+ (PORT datad (176:176:176) (203:203:203))
+ (IOPATH datab combout (167:167:167) (174:174:174))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (872:872:872))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (174:174:174) (236:236:236))
+ (PORT datad (189:189:189) (237:237:237))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (865:865:865) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (343:343:343) (415:415:415))
+ (PORT datab (241:241:241) (296:296:296))
+ (PORT datac (227:227:227) (287:287:287))
+ (PORT datad (328:328:328) (390:390:390))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (877:877:877))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (863:863:863) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (385:385:385) (470:470:470))
+ (PORT datab (152:152:152) (189:189:189))
+ (PORT datac (201:201:201) (255:255:255))
+ (PORT datad (222:222:222) (258:258:258))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH datab combout (196:196:196) (205:205:205))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (871:871:871))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (137:137:137) (189:189:189))
+ (PORT datac (119:119:119) (161:161:161))
+ (PORT datad (600:600:600) (701:701:701))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (877:877:877))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (863:863:863) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (385:385:385) (471:471:471))
+ (PORT datab (152:152:152) (188:188:188))
+ (PORT datac (346:346:346) (399:399:399))
+ (PORT datad (190:190:190) (238:238:238))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (503:503:503) (598:598:598))
+ (PORT datac (117:117:117) (158:158:158))
+ (PORT datad (514:514:514) (613:613:613))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (870:870:870) (875:875:875))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (160:160:160) (218:218:218))
+ (PORT datab (130:130:130) (179:179:179))
+ (PORT datac (115:115:115) (144:144:144))
+ (PORT datad (349:349:349) (409:409:409))
+ (IOPATH dataa combout (159:159:159) (165:165:165))
+ (IOPATH datab combout (196:196:196) (205:205:205))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (131:131:131) (182:182:182))
+ (PORT datab (130:130:130) (179:179:179))
+ (PORT datad (617:617:617) (727:727:727))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT asdata (524:524:524) (595:595:595))
+ (PORT clrn (870:870:870) (875:875:875))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (160:160:160) (217:217:217))
+ (PORT datab (132:132:132) (165:165:165))
+ (PORT datad (352:352:352) (413:413:413))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH datab combout (196:196:196) (205:205:205))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (631:631:631) (752:752:752))
+ (PORT datab (137:137:137) (188:188:188))
+ (PORT datac (453:453:453) (535:535:535))
+ (IOPATH dataa combout (188:188:188) (184:184:184))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (476:476:476) (566:566:566))
+ (PORT datac (451:451:451) (532:532:532))
+ (IOPATH dataa combout (195:195:195) (203:203:203))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (224:224:224) (283:283:283))
+ (PORT datab (255:255:255) (320:320:320))
+ (PORT datac (324:324:324) (387:387:387))
+ (PORT datad (198:198:198) (231:231:231))
+ (IOPATH dataa combout (192:192:192) (184:184:184))
+ (IOPATH datab combout (182:182:182) (188:188:188))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (211:211:211) (257:257:257))
+ (PORT datab (257:257:257) (322:322:322))
+ (PORT datac (326:326:326) (389:389:389))
+ (PORT datad (298:298:298) (355:355:355))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH datab combout (167:167:167) (174:174:174))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (869:869:869) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (156:156:156) (213:213:213))
+ (PORT datab (152:152:152) (204:204:204))
+ (PORT datac (133:133:133) (175:175:175))
+ (PORT datad (133:133:133) (172:172:172))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (350:350:350) (422:422:422))
+ (PORT datab (146:146:146) (185:185:185))
+ (PORT datad (169:169:169) (198:198:198))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[7\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (527:527:527) (630:630:630))
+ (PORT datad (514:514:514) (610:610:610))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (873:873:873) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (860:860:860) (863:863:863))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (131:131:131) (182:182:182))
+ (PORT datad (604:604:604) (706:706:706))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[4\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (526:526:526) (625:625:625))
+ (PORT datac (512:512:512) (608:608:608))
+ (IOPATH dataa combout (195:195:195) (203:203:203))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (386:386:386) (472:472:472))
+ (PORT datab (235:235:235) (281:281:281))
+ (PORT datad (137:137:137) (161:161:161))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (192:192:192) (181:181:181))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (862:862:862) (866:866:866))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (132:132:132) (181:181:181))
+ (PORT datad (515:515:515) (614:614:614))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (374:374:374) (457:457:457))
+ (PORT datad (308:308:308) (357:357:357))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (333:333:333) (391:391:391))
+ (PORT datad (308:308:308) (357:357:357))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~24)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (375:375:375) (459:459:459))
+ (PORT datab (103:103:103) (132:132:132))
+ (PORT datac (318:318:318) (365:365:365))
+ (PORT datad (344:344:344) (403:403:403))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~29)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (366:366:366) (440:440:440))
+ (PORT datab (355:355:355) (418:418:418))
+ (PORT datac (354:354:354) (417:417:417))
+ (PORT datad (439:439:439) (509:509:509))
+ (IOPATH dataa combout (188:188:188) (179:179:179))
+ (IOPATH datab combout (188:188:188) (177:177:177))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[5\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (396:396:396) (486:486:486))
+ (PORT datac (352:352:352) (426:426:426))
+ (PORT datad (360:360:360) (436:436:436))
+ (IOPATH dataa combout (195:195:195) (203:203:203))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (870:870:870) (875:875:875))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (347:347:347) (408:408:408))
+ (PORT datab (197:197:197) (253:253:253))
+ (PORT datac (320:320:320) (385:385:385))
+ (PORT datad (183:183:183) (208:208:208))
+ (IOPATH dataa combout (195:195:195) (193:193:193))
+ (IOPATH datab combout (196:196:196) (193:193:193))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (874:874:874) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (860:860:860) (864:864:864))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (354:354:354) (438:438:438))
+ (PORT datad (619:619:619) (728:728:728))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (862:862:862) (866:866:866))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (135:135:135) (187:187:187))
+ (PORT datad (516:516:516) (616:616:616))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[7\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (476:476:476) (566:566:566))
+ (PORT datad (473:473:473) (562:562:562))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[4\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (459:459:459) (542:542:542))
+ (PORT datad (472:472:472) (561:561:561))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (349:349:349) (413:413:413))
+ (PORT datab (257:257:257) (323:323:323))
+ (PORT datad (196:196:196) (229:229:229))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (514:514:514) (619:619:619))
+ (PORT datab (632:632:632) (729:729:729))
+ (PORT datac (765:765:765) (896:896:896))
+ (PORT datad (137:137:137) (177:177:177))
+ (IOPATH dataa combout (181:181:181) (193:193:193))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (633:633:633) (748:748:748))
+ (PORT datab (497:497:497) (580:580:580))
+ (PORT datad (369:369:369) (443:443:443))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[7\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (377:377:377) (461:461:461))
+ (PORT datac (377:377:377) (458:458:458))
+ (PORT datad (367:367:367) (447:447:447))
+ (IOPATH datab combout (196:196:196) (205:205:205))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (226:226:226) (280:280:280))
+ (PORT datab (608:608:608) (706:706:706))
+ (PORT datac (497:497:497) (586:586:586))
+ (PORT datad (745:745:745) (867:867:867))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (492:492:492) (582:582:582))
+ (PORT datac (738:738:738) (860:860:860))
+ (PORT datad (370:370:370) (443:443:443))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (366:366:366) (440:440:440))
+ (PORT datab (355:355:355) (418:418:418))
+ (PORT datac (352:352:352) (415:415:415))
+ (PORT datad (439:439:439) (509:509:509))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~35)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (191:191:191) (233:233:233))
+ (PORT datab (108:108:108) (137:137:137))
+ (PORT datac (359:359:359) (422:422:422))
+ (PORT datad (336:336:336) (394:394:394))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2\~_wirecell)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (1120:1120:1120) (1119:1119:1119))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (167:167:167) (227:227:227))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (174:174:174) (209:209:209))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (91:91:91) (109:109:109))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (327:327:327) (381:381:381))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (421:421:421) (480:480:480))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (159:159:159) (185:185:185))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (170:170:170) (206:206:206))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (315:315:315) (373:373:373))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (91:91:91) (110:110:110))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (104:104:104) (133:133:133))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_clk_p\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (1555:1555:1555) (1528:1528:1528))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_clk_n\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (1534:1534:1534) (1564:1564:1564))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_p\[0\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (1565:1565:1565) (1538:1538:1538))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_p\[1\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (1565:1565:1565) (1538:1538:1538))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_p\[2\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (1565:1565:1565) (1538:1538:1538))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_n\[0\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (1555:1555:1555) (1528:1528:1528))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_n\[1\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (1575:1575:1575) (1548:1548:1548))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_n\[2\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (1565:1565:1565) (1538:1538:1538))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (137:137:137) (189:189:189))
+ (IOPATH dataa combout (195:195:195) (203:203:203))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (872:872:872))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT sclr (400:400:400) (494:494:494))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (138:138:138) (192:192:192))
+ (PORT datad (118:118:118) (155:155:155))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (872:872:872))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT sclr (400:400:400) (494:494:494))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (135:135:135) (188:188:188))
+ (PORT datac (175:175:175) (237:237:237))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (872:872:872))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (130:130:130) (179:179:179))
+ (PORT datac (174:174:174) (236:236:236))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (872:872:872))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (169:169:169) (229:229:229))
+ (PORT datad (119:119:119) (156:156:156))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (872:872:872))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (134:134:134) (185:185:185))
+ (PORT datac (176:176:176) (237:237:237))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (872:872:872))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_clk\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (358:358:358) (738:738:738))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[1\]\~clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (1120:1120:1120) (1119:1119:1119))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (839:839:839) (834:834:834))
+ (PORT D (446:446:446) (495:495:495))
+ (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (231:231:231))
+ (HOLD D (negedge ENA) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (839:839:839) (834:834:834))
+ (PORT d (469:469:469) (508:508:508))
+ (IOPATH (posedge clk) q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (40:40:40))
+ (HOLD d (posedge clk) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (918:918:918) (937:937:937))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (243:243:243) (236:236:236))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (236:236:236))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (842:842:842) (837:837:837))
+ (PORT D (506:506:506) (466:466:466))
+ (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (231:231:231))
+ (HOLD D (negedge ENA) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (842:842:842) (837:837:837))
+ (PORT d (682:682:682) (614:614:614))
+ (IOPATH (posedge clk) q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (40:40:40))
+ (HOLD d (posedge clk) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (921:921:921) (940:940:940))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (243:243:243) (236:236:236))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (236:236:236))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (214:214:214) (273:273:273))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (228:228:228) (284:284:284))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (346:346:346) (416:416:416))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (359:359:359) (431:431:431))
+ (PORT datab (151:151:151) (190:190:190))
+ (PORT datad (161:161:161) (184:184:184))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_rst_n\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (318:318:318) (698:698:698))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (843:843:843) (755:755:755))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (2623:2623:2623) (2358:2358:2358))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE rst_n\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (2076:2076:2076) (2342:2342:2342))
+ (PORT datab (132:132:132) (180:180:180))
+ (PORT datad (525:525:525) (446:446:446))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE rst_n\~0clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (611:611:611) (657:657:657))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (865:865:865) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (143:143:143) (192:192:192))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (144:144:144) (193:193:193))
+ (IOPATH datab combout (192:192:192) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (869:869:869) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (144:144:144) (195:195:195))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (869:869:869) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (145:145:145) (196:196:196))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (869:869:869) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (142:142:142) (190:190:190))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (869:869:869) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (313:313:313) (382:382:382))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (142:142:142) (192:192:192))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (869:869:869) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (142:142:142) (190:190:190))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (869:869:869) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (143:143:143) (195:195:195))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (149:149:149) (203:203:203))
+ (PORT datab (149:149:149) (199:199:199))
+ (PORT datac (134:134:134) (178:178:178))
+ (PORT datad (136:136:136) (176:176:176))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (122:122:122) (156:156:156))
+ (PORT datab (104:104:104) (132:132:132))
+ (PORT datac (171:171:171) (202:202:202))
+ (PORT datad (178:178:178) (211:211:211))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (869:869:869) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (540:540:540) (643:643:643))
+ (PORT datab (240:240:240) (299:299:299))
+ (PORT datac (229:229:229) (289:289:289))
+ (PORT datad (223:223:223) (279:279:279))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (166:166:166) (158:158:158))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (148:148:148) (197:197:197))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (122:122:122) (156:156:156))
+ (PORT datab (103:103:103) (132:132:132))
+ (PORT datac (171:171:171) (203:203:203))
+ (PORT datad (178:178:178) (211:211:211))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (869:869:869) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (147:147:147) (196:196:196))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (869:869:869) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (160:160:160) (212:212:212))
+ (IOPATH dataa combout (195:195:195) (203:203:203))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[11\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (869:869:869) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (223:223:223) (282:282:282))
+ (PORT datab (146:146:146) (196:196:196))
+ (PORT datac (145:145:145) (188:188:188))
+ (PORT datad (134:134:134) (173:173:173))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (451:451:451) (522:522:522))
+ (PORT datac (196:196:196) (230:230:230))
+ (PORT datad (318:318:318) (368:368:368))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (210:210:210) (257:257:257))
+ (PORT datab (105:105:105) (134:134:134))
+ (PORT datad (325:325:325) (386:386:386))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (879:879:879) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (866:866:866) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (143:143:143) (192:192:192))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (210:210:210) (256:256:256))
+ (PORT datab (105:105:105) (134:134:134))
+ (PORT datad (325:325:325) (386:386:386))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (879:879:879) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (866:866:866) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (230:230:230) (287:287:287))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (356:356:356) (428:428:428))
+ (PORT datab (187:187:187) (224:224:224))
+ (PORT datad (134:134:134) (164:164:164))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (865:865:865) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (213:213:213) (270:270:270))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (351:351:351) (422:422:422))
+ (PORT datab (171:171:171) (208:208:208))
+ (PORT datad (132:132:132) (162:162:162))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (865:865:865) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (227:227:227) (283:283:283))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (351:351:351) (423:423:423))
+ (PORT datab (186:186:186) (223:223:223))
+ (PORT datad (132:132:132) (161:161:161))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (865:865:865) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (368:368:368) (446:446:446))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (357:357:357) (430:430:430))
+ (PORT datab (150:150:150) (190:190:190))
+ (PORT datad (280:280:280) (321:321:321))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (865:865:865) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (145:145:145) (196:196:196))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[10\]\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (209:209:209) (255:255:255))
+ (PORT datab (177:177:177) (215:215:215))
+ (PORT datad (324:324:324) (385:385:385))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (879:879:879) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (866:866:866) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (145:145:145) (194:194:194))
+ (IOPATH datab combout (196:196:196) (205:205:205))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (209:209:209) (256:256:256))
+ (PORT datab (296:296:296) (351:351:351))
+ (PORT datad (324:324:324) (385:385:385))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[11\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (879:879:879) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (866:866:866) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (351:351:351) (417:417:417))
+ (PORT datad (355:355:355) (425:425:425))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (225:225:225) (278:278:278))
+ (PORT datab (146:146:146) (195:195:195))
+ (PORT datac (134:134:134) (178:178:178))
+ (PORT datad (134:134:134) (174:174:174))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (322:322:322) (393:393:393))
+ (PORT datab (150:150:150) (201:201:201))
+ (PORT datac (175:175:175) (209:209:209))
+ (PORT datad (108:108:108) (126:126:126))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (105:105:105) (137:137:137))
+ (PORT datab (108:108:108) (138:138:138))
+ (PORT datac (335:335:335) (399:399:399))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (360:360:360) (433:433:433))
+ (PORT datab (152:152:152) (191:191:191))
+ (PORT datad (168:168:168) (196:196:196))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (865:865:865) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (359:359:359) (432:432:432))
+ (PORT datab (286:286:286) (330:330:330))
+ (PORT datad (137:137:137) (168:168:168))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (865:865:865) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (154:154:154) (210:210:210))
+ (PORT datab (148:148:148) (199:199:199))
+ (PORT datac (138:138:138) (184:184:184))
+ (PORT datad (134:134:134) (173:173:173))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (147:147:147) (200:200:200))
+ (PORT datab (147:147:147) (197:197:197))
+ (PORT datac (132:132:132) (175:175:175))
+ (PORT datad (133:133:133) (172:172:172))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (318:318:318) (387:387:387))
+ (PORT datab (103:103:103) (132:132:132))
+ (PORT datac (180:180:180) (217:217:217))
+ (PORT datad (106:106:106) (124:124:124))
+ (IOPATH dataa combout (181:181:181) (175:175:175))
+ (IOPATH datab combout (166:166:166) (158:158:158))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (365:365:365) (447:447:447))
+ (PORT datab (356:356:356) (431:431:431))
+ (PORT datac (348:348:348) (414:414:414))
+ (PORT datad (321:321:321) (378:378:378))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (359:359:359) (423:423:423))
+ (PORT datab (458:458:458) (546:546:546))
+ (PORT datac (476:476:476) (586:586:586))
+ (PORT datad (94:94:94) (113:113:113))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (166:166:166) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (332:332:332) (395:395:395))
+ (PORT datab (455:455:455) (526:526:526))
+ (PORT datac (193:193:193) (227:227:227))
+ (PORT datad (328:328:328) (384:384:384))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (873:873:873) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (860:860:860) (863:863:863))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (213:213:213) (272:272:272))
+ (PORT datab (227:227:227) (282:282:282))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (231:231:231) (286:286:286))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (213:213:213) (272:272:272))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (238:238:238) (297:297:297))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (336:336:336) (411:411:411))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (245:245:245) (306:306:306))
+ (IOPATH datab combout (167:167:167) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (241:241:241) (303:303:303))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (242:242:242) (304:304:304))
+ (IOPATH datab combout (167:167:167) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|always0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (380:380:380) (465:465:465))
+ (PORT datab (356:356:356) (419:419:419))
+ (PORT datac (337:337:337) (396:396:396))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (382:382:382) (468:468:468))
+ (PORT datac (338:338:338) (397:397:397))
+ (PORT datad (309:309:309) (358:358:358))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (346:346:346) (415:415:415))
+ (IOPATH datab combout (188:188:188) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|always0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (349:349:349) (415:415:415))
+ (PORT datab (103:103:103) (131:131:131))
+ (PORT datac (99:99:99) (126:126:126))
+ (PORT datad (343:343:343) (402:402:402))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (358:358:358) (421:421:421))
+ (PORT datac (334:334:334) (393:393:393))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (342:342:342) (414:414:414))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (380:380:380) (466:466:466))
+ (PORT datab (105:105:105) (134:134:134))
+ (PORT datac (332:332:332) (389:389:389))
+ (PORT datad (342:342:342) (400:400:400))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (349:349:349) (416:416:416))
+ (IOPATH dataa combout (195:195:195) (203:203:203))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_x\[11\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (224:224:224) (277:277:277))
+ (PORT datad (304:304:304) (356:356:356))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (183:183:183) (224:224:224))
+ (PORT datab (184:184:184) (224:224:224))
+ (PORT datac (183:183:183) (223:223:223))
+ (PORT datad (115:115:115) (137:137:137))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~17)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (357:357:357) (420:420:420))
+ (PORT datac (336:336:336) (395:395:395))
+ (PORT datad (343:343:343) (402:402:402))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~34)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (228:228:228) (283:283:283))
+ (PORT datab (111:111:111) (143:143:143))
+ (PORT datac (160:160:160) (189:189:189))
+ (PORT datad (317:317:317) (368:368:368))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (559:559:559) (671:671:671))
+ (PORT datad (361:361:361) (435:435:435))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (343:343:343) (403:403:403))
+ (PORT datab (371:371:371) (453:453:453))
+ (PORT datac (325:325:325) (381:381:381))
+ (PORT datad (546:546:546) (646:646:646))
+ (IOPATH dataa combout (165:165:165) (159:159:159))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (136:136:136) (178:178:178))
+ (PORT datab (537:537:537) (633:633:633))
+ (PORT datac (402:402:402) (477:477:477))
+ (PORT datad (362:362:362) (417:417:417))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datab combout (160:160:160) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (345:345:345) (407:407:407))
+ (PORT datab (354:354:354) (416:416:416))
+ (PORT datac (356:356:356) (420:420:420))
+ (PORT datad (338:338:338) (395:395:395))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (344:344:344) (407:407:407))
+ (PORT datab (354:354:354) (416:416:416))
+ (PORT datac (357:357:357) (421:421:421))
+ (PORT datad (342:342:342) (411:411:411))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (167:167:167) (156:156:156))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|always0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (344:344:344) (407:407:407))
+ (PORT datab (108:108:108) (137:137:137))
+ (PORT datac (358:358:358) (422:422:422))
+ (PORT datad (337:337:337) (394:394:394))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (366:366:366) (440:440:440))
+ (PORT datab (354:354:354) (416:416:416))
+ (PORT datad (440:440:440) (510:510:510))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (366:366:366) (440:440:440))
+ (PORT datab (110:110:110) (141:141:141))
+ (PORT datac (101:101:101) (128:128:128))
+ (PORT datad (101:101:101) (122:122:122))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (113:113:113) (148:148:148))
+ (PORT datab (109:109:109) (141:141:141))
+ (PORT datac (266:266:266) (302:302:302))
+ (PORT datad (115:115:115) (136:136:136))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (882:882:882) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (875:875:875) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~19)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (109:109:109) (142:142:142))
+ (PORT datab (111:111:111) (143:143:143))
+ (PORT datac (97:97:97) (123:123:123))
+ (PORT datad (320:320:320) (364:364:364))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (113:113:113) (148:148:148))
+ (PORT datac (269:269:269) (306:306:306))
+ (PORT datad (97:97:97) (118:118:118))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (882:882:882) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (875:875:875) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (334:334:334) (401:401:401))
+ (PORT datab (118:118:118) (147:147:147))
+ (PORT datac (309:309:309) (375:375:375))
+ (PORT datad (209:209:209) (256:256:256))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (881:881:881) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (874:874:874) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan4\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (238:238:238) (297:297:297))
+ (PORT datac (227:227:227) (286:286:286))
+ (PORT datad (324:324:324) (390:390:390))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (384:384:384) (463:463:463))
+ (PORT datab (373:373:373) (455:455:455))
+ (PORT datac (324:324:324) (383:383:383))
+ (PORT datad (546:546:546) (647:647:647))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (360:360:360) (423:423:423))
+ (PORT datac (476:476:476) (585:585:585))
+ (PORT datad (94:94:94) (113:113:113))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[2\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (380:380:380) (451:451:451))
+ (PORT datab (348:348:348) (415:415:415))
+ (PORT datac (315:315:315) (373:373:373))
+ (PORT datad (127:127:127) (158:158:158))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (881:881:881) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (874:874:874) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (529:529:529) (631:631:631))
+ (PORT datab (536:536:536) (639:639:639))
+ (PORT datac (502:502:502) (600:600:600))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (877:877:877))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (863:863:863) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (521:521:521) (623:623:623))
+ (PORT datad (508:508:508) (603:603:603))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (877:877:877))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (863:863:863) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (530:530:530) (633:633:633))
+ (PORT datab (537:537:537) (640:640:640))
+ (PORT datac (503:503:503) (602:602:602))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (877:877:877))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (863:863:863) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (366:366:366) (449:449:449))
+ (PORT datab (351:351:351) (428:428:428))
+ (PORT datac (338:338:338) (409:409:409))
+ (PORT datad (339:339:339) (412:412:412))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (93:93:93) (112:112:112))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (877:877:877))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (863:863:863) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (383:383:383) (468:468:468))
+ (PORT datab (334:334:334) (396:396:396))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (354:354:354) (424:424:424))
+ (PORT datab (147:147:147) (197:197:197))
+ (IOPATH dataa combout (172:172:172) (165:165:165))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (169:169:169) (167:167:167))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (384:384:384) (469:469:469))
+ (PORT datab (236:236:236) (282:282:282))
+ (PORT datad (139:139:139) (163:163:163))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (192:192:192) (181:181:181))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (523:523:523) (624:624:624))
+ (PORT datab (530:530:530) (633:633:633))
+ (PORT datac (495:495:495) (592:592:592))
+ (IOPATH dataa combout (181:181:181) (184:184:184))
+ (IOPATH datab combout (182:182:182) (188:188:188))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (877:877:877))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (863:863:863) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (361:361:361) (423:423:423))
+ (PORT datab (208:208:208) (249:249:249))
+ (PORT datac (108:108:108) (132:132:132))
+ (PORT datad (210:210:210) (259:259:259))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (219:219:219) (264:264:264))
+ (PORT datab (105:105:105) (134:134:134))
+ (PORT datac (89:89:89) (110:110:110))
+ (PORT datad (204:204:204) (256:256:256))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[1\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (379:379:379) (450:450:450))
+ (PORT datab (325:325:325) (394:394:394))
+ (PORT datac (318:318:318) (377:377:377))
+ (PORT datad (125:125:125) (157:157:157))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (881:881:881) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (874:874:874) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT asdata (548:548:548) (630:630:630))
+ (PORT clrn (869:869:869) (875:875:875))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (363:363:363) (445:445:445))
+ (PORT datab (353:353:353) (430:430:430))
+ (PORT datac (336:336:336) (407:407:407))
+ (PORT datad (343:343:343) (417:417:417))
+ (IOPATH dataa combout (188:188:188) (196:196:196))
+ (IOPATH datab combout (190:190:190) (197:197:197))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (283:283:283) (330:330:330))
+ (PORT datad (331:331:331) (403:403:403))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (191:191:191) (229:229:229))
+ (PORT datab (105:105:105) (135:135:135))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (175:175:175) (213:213:213))
+ (PORT datab (171:171:171) (209:209:209))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (379:379:379) (449:449:449))
+ (PORT datac (321:321:321) (380:380:380))
+ (PORT datad (123:123:123) (155:155:155))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg1)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (881:881:881) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (874:874:874) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (434:434:434) (505:505:505))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (871:871:871) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (868:868:868) (875:875:875))
+ (PORT sclr (1202:1202:1202) (1097:1097:1097))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (143:143:143) (195:195:195))
+ (PORT datac (347:347:347) (406:406:406))
+ (PORT datad (214:214:214) (265:265:265))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (289:289:289) (335:335:335))
+ (PORT datab (194:194:194) (236:236:236))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (868:868:868) (875:875:875))
+ (PORT sclr (1202:1202:1202) (1097:1097:1097))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (147:147:147) (200:200:200))
+ (PORT datab (159:159:159) (208:208:208))
+ (PORT datac (131:131:131) (174:174:174))
+ (PORT datad (128:128:128) (165:165:165))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (164:164:164) (218:218:218))
+ (PORT datab (110:110:110) (141:141:141))
+ (PORT datac (284:284:284) (332:332:332))
+ (PORT datad (332:332:332) (403:403:403))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (160:160:160) (210:210:210))
+ (IOPATH datab combout (188:188:188) (193:193:193))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (234:234:234) (284:284:284))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (223:223:223) (268:268:268))
+ (PORT datab (115:115:115) (144:144:144))
+ (PORT datac (90:90:90) (112:112:112))
+ (PORT datad (184:184:184) (217:217:217))
+ (IOPATH dataa combout (165:165:165) (159:159:159))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (509:509:509) (604:604:604))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (877:877:877))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (863:863:863) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (103:103:103) (135:135:135))
+ (PORT datab (235:235:235) (281:281:281))
+ (PORT datac (320:320:320) (391:391:391))
+ (PORT datad (371:371:371) (444:444:444))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (349:349:349) (411:411:411))
+ (PORT datab (103:103:103) (132:132:132))
+ (PORT datac (92:92:92) (114:114:114))
+ (PORT datad (357:357:357) (415:415:415))
+ (IOPATH dataa combout (166:166:166) (159:159:159))
+ (IOPATH datab combout (167:167:167) (158:158:158))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (173:173:173) (215:215:215))
+ (PORT datab (283:283:283) (330:330:330))
+ (PORT datac (349:349:349) (407:407:407))
+ (PORT datad (91:91:91) (109:109:109))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (196:196:196) (205:205:205))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (190:190:190) (227:227:227))
+ (PORT datad (90:90:90) (108:108:108))
+ (IOPATH dataa combout (195:195:195) (203:203:203))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (868:868:868) (875:875:875))
+ (PORT sclr (1202:1202:1202) (1097:1097:1097))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (288:288:288) (335:335:335))
+ (PORT datab (292:292:292) (341:341:341))
+ (PORT datac (320:320:320) (390:390:390))
+ (PORT datad (234:234:234) (283:283:283))
+ (IOPATH dataa combout (165:165:165) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (877:877:877))
+ (PORT asdata (772:772:772) (867:867:867))
+ (PORT clrn (863:863:863) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (338:338:338) (392:392:392))
+ (PORT datab (209:209:209) (244:244:244))
+ (PORT datad (129:129:129) (166:166:166))
+ (IOPATH dataa combout (192:192:192) (184:184:184))
+ (IOPATH datab combout (191:191:191) (188:188:188))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (308:308:308) (356:356:356))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (104:104:104) (136:136:136))
+ (PORT datab (335:335:335) (411:411:411))
+ (PORT datac (230:230:230) (290:290:290))
+ (PORT datad (224:224:224) (279:279:279))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg1)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (882:882:882))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (868:868:868) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (882:882:882))
+ (PORT asdata (293:293:293) (332:332:332))
+ (PORT clrn (868:868:868) (874:874:874))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (873:873:873) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (940:940:940) (1069:1069:1069))
+ (PORT clrn (860:860:860) (863:863:863))
+ (PORT sload (911:911:911) (828:828:828))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (535:535:535) (638:638:638))
+ (PORT datac (501:501:501) (599:599:599))
+ (IOPATH datab combout (196:196:196) (205:205:205))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (877:877:877))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (863:863:863) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (385:385:385) (471:471:471))
+ (PORT datab (151:151:151) (186:186:186))
+ (PORT datac (346:346:346) (399:399:399))
+ (PORT datad (190:190:190) (238:238:238))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (322:322:322) (376:376:376))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (873:873:873) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (942:942:942) (1070:1070:1070))
+ (PORT clrn (860:860:860) (863:863:863))
+ (PORT sload (911:911:911) (828:828:828))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (132:132:132) (183:183:183))
+ (PORT datab (130:130:130) (179:179:179))
+ (PORT datad (602:602:602) (704:704:704))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (871:871:871))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (619:619:619) (735:735:735))
+ (PORT datab (133:133:133) (182:182:182))
+ (PORT datad (118:118:118) (155:155:155))
+ (IOPATH dataa combout (172:172:172) (165:165:165))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (867:867:867) (871:871:871))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (876:876:876))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (1076:1076:1076) (1222:1222:1222))
+ (PORT clrn (862:862:862) (869:869:869))
+ (PORT sload (1155:1155:1155) (1031:1031:1031))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (133:133:133) (185:185:185))
+ (PORT datac (470:470:470) (555:555:555))
+ (PORT datad (516:516:516) (615:615:615))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (842:842:842) (837:837:837))
+ (PORT D (548:548:548) (602:602:602))
+ (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (231:231:231))
+ (HOLD D (negedge ENA) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (842:842:842) (837:837:837))
+ (PORT d (669:669:669) (721:721:721))
+ (IOPATH (posedge clk) q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (40:40:40))
+ (HOLD d (posedge clk) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (921:921:921) (940:940:940))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (243:243:243) (236:236:236))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (236:236:236))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~30)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (344:344:344) (407:407:407))
+ (PORT datab (321:321:321) (379:379:379))
+ (PORT datac (360:360:360) (424:424:424))
+ (PORT datad (336:336:336) (393:393:393))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (104:104:104) (135:135:135))
+ (PORT datab (322:322:322) (380:380:380))
+ (PORT datac (329:329:329) (382:382:382))
+ (PORT datad (339:339:339) (397:397:397))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~31)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (104:104:104) (134:134:134))
+ (PORT datab (105:105:105) (134:134:134))
+ (PORT datad (95:95:95) (114:114:114))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (883:883:883) (889:889:889))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (876:876:876) (881:881:881))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[6\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (380:380:380) (450:450:450))
+ (PORT datab (306:306:306) (367:367:367))
+ (PORT datac (317:317:317) (376:376:376))
+ (PORT datad (125:125:125) (157:157:157))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (881:881:881) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (874:874:874) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_x\[10\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (381:381:381) (467:467:467))
+ (PORT datac (332:332:332) (388:388:388))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~23)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (175:175:175) (216:216:216))
+ (PORT datab (356:356:356) (420:420:420))
+ (PORT datac (337:337:337) (396:396:396))
+ (PORT datad (343:343:343) (401:401:401))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan10\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (373:373:373) (456:456:456))
+ (PORT datab (358:358:358) (422:422:422))
+ (PORT datac (103:103:103) (130:130:130))
+ (PORT datad (345:345:345) (404:404:404))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~25)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (104:104:104) (136:136:136))
+ (PORT datab (103:103:103) (132:132:132))
+ (PORT datac (102:102:102) (122:122:122))
+ (PORT datad (104:104:104) (122:122:122))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[9\]\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (376:376:376) (460:460:460))
+ (PORT datad (343:343:343) (398:398:398))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[9\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (116:116:116) (153:153:153))
+ (PORT datab (364:364:364) (429:429:429))
+ (PORT datac (335:335:335) (392:392:392))
+ (PORT datad (90:90:90) (108:108:108))
+ (IOPATH dataa combout (165:165:165) (159:159:159))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~36)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (227:227:227) (281:281:281))
+ (PORT datab (182:182:182) (222:222:222))
+ (PORT datac (293:293:293) (342:342:342))
+ (PORT datad (301:301:301) (354:354:354))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~21)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (366:366:366) (440:440:440))
+ (PORT datab (110:110:110) (141:141:141))
+ (PORT datac (102:102:102) (128:128:128))
+ (PORT datad (101:101:101) (123:123:123))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~28)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (277:277:277) (321:321:321))
+ (PORT datab (192:192:192) (230:230:230))
+ (PORT datac (94:94:94) (118:118:118))
+ (PORT datad (288:288:288) (330:330:330))
+ (IOPATH dataa combout (166:166:166) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (882:882:882) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (875:875:875) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (378:378:378) (448:448:448))
+ (PORT datab (311:311:311) (382:382:382))
+ (PORT datac (325:325:325) (385:385:385))
+ (PORT datad (122:122:122) (153:153:153))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (881:881:881) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (874:874:874) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~26)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (275:275:275) (318:318:318))
+ (PORT datab (191:191:191) (229:229:229))
+ (PORT datac (93:93:93) (116:116:116))
+ (PORT datad (290:290:290) (332:332:332))
+ (IOPATH dataa combout (166:166:166) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~27)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (184:184:184) (224:224:224))
+ (PORT datab (182:182:182) (222:222:222))
+ (PORT datac (89:89:89) (111:111:111))
+ (PORT datad (115:115:115) (138:138:138))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (882:882:882) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (875:875:875) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[10\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (379:379:379) (449:449:449))
+ (PORT datab (220:220:220) (276:276:276))
+ (PORT datac (319:319:319) (378:378:378))
+ (PORT datad (124:124:124) (156:156:156))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (881:881:881) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (874:874:874) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (395:395:395) (484:484:484))
+ (PORT datab (378:378:378) (461:461:461))
+ (PORT datac (355:355:355) (430:430:430))
+ (PORT datad (366:366:366) (447:447:447))
+ (IOPATH dataa combout (181:181:181) (184:184:184))
+ (IOPATH datab combout (182:182:182) (188:188:188))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (870:870:870) (875:875:875))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (398:398:398) (488:488:488))
+ (PORT datab (383:383:383) (466:466:466))
+ (PORT datac (348:348:348) (422:422:422))
+ (PORT datad (367:367:367) (448:448:448))
+ (IOPATH dataa combout (195:195:195) (193:193:193))
+ (IOPATH datab combout (196:196:196) (192:192:192))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (870:870:870) (875:875:875))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (398:398:398) (488:488:488))
+ (PORT datab (382:382:382) (466:466:466))
+ (PORT datac (349:349:349) (423:423:423))
+ (PORT datad (367:367:367) (448:448:448))
+ (IOPATH dataa combout (181:181:181) (184:184:184))
+ (IOPATH datab combout (182:182:182) (188:188:188))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (870:870:870) (875:875:875))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (167:167:167) (222:222:222))
+ (PORT datab (155:155:155) (207:207:207))
+ (PORT datac (135:135:135) (181:181:181))
+ (PORT datad (136:136:136) (176:176:176))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (164:164:164) (218:218:218))
+ (PORT datab (150:150:150) (202:202:202))
+ (PORT datac (139:139:139) (185:185:185))
+ (PORT datad (138:138:138) (179:179:179))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (396:396:396) (485:485:485))
+ (PORT datab (379:379:379) (463:463:463))
+ (PORT datac (353:353:353) (427:427:427))
+ (PORT datad (367:367:367) (447:447:447))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (870:870:870) (875:875:875))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (374:374:374) (462:462:462))
+ (PORT datab (104:104:104) (133:133:133))
+ (PORT datac (90:90:90) (112:112:112))
+ (PORT datad (129:129:129) (166:166:166))
+ (IOPATH dataa combout (188:188:188) (184:184:184))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add5\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (207:207:207) (266:266:266))
+ (PORT datab (219:219:219) (276:276:276))
+ (PORT datac (90:90:90) (111:111:111))
+ (PORT datad (293:293:293) (348:348:348))
+ (IOPATH dataa combout (166:166:166) (157:157:157))
+ (IOPATH datab combout (167:167:167) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (881:881:881) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (874:874:874) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT asdata (558:558:558) (638:638:638))
+ (PORT clrn (870:870:870) (875:875:875))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (380:380:380) (461:461:461))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (870:870:870) (875:875:875))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (347:347:347) (408:408:408))
+ (PORT datab (333:333:333) (404:404:404))
+ (PORT datad (182:182:182) (208:208:208))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (878:878:878))
+ (PORT asdata (748:748:748) (864:864:864))
+ (PORT clrn (864:864:864) (870:870:870))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (455:455:455) (526:526:526))
+ (PORT datab (507:507:507) (602:602:602))
+ (PORT datad (300:300:300) (360:360:360))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (226:226:226) (287:287:287))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (225:225:225) (285:285:285))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (210:210:210) (259:259:259))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (225:225:225) (285:285:285))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (210:210:210) (260:260:260))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (225:225:225) (273:273:273))
+ (PORT datab (104:104:104) (132:132:132))
+ (PORT datac (338:338:338) (386:386:386))
+ (PORT datad (90:90:90) (108:108:108))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (379:379:379) (462:462:462))
+ (PORT datac (378:378:378) (459:459:459))
+ (PORT datad (367:367:367) (447:447:447))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (870:870:870) (875:875:875))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (107:107:107) (139:139:139))
+ (PORT datab (373:373:373) (444:444:444))
+ (PORT datac (342:342:342) (408:408:408))
+ (PORT datad (92:92:92) (110:110:110))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (329:329:329) (397:397:397))
+ (PORT datab (338:338:338) (397:397:397))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (338:338:338) (395:395:395))
+ (PORT datab (332:332:332) (387:387:387))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (326:326:326))
+ (PORT datab (192:192:192) (231:231:231))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (266:266:266) (310:310:310))
+ (PORT datab (175:175:175) (214:214:214))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (325:325:325) (389:389:389))
+ (PORT datad (161:161:161) (189:189:189))
+ (IOPATH dataa combout (195:195:195) (203:203:203))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (874:874:874) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (860:860:860) (864:864:864))
+ (PORT sclr (812:812:812) (768:768:768))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (874:874:874) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (860:860:860) (864:864:864))
+ (PORT sclr (812:812:812) (768:768:768))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (142:142:142) (193:193:193))
+ (PORT datab (143:143:143) (191:191:191))
+ (PORT datac (128:128:128) (169:169:169))
+ (PORT datad (129:129:129) (165:165:165))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (110:110:110) (141:141:141))
+ (PORT datad (133:133:133) (172:172:172))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (104:104:104) (135:135:135))
+ (PORT datab (104:104:104) (133:133:133))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (874:874:874) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (860:860:860) (864:864:864))
+ (PORT sclr (812:812:812) (768:768:768))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (105:105:105) (137:137:137))
+ (PORT datab (379:379:379) (446:446:446))
+ (PORT datac (173:173:173) (209:209:209))
+ (PORT datad (450:450:450) (515:515:515))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (188:188:188) (177:177:177))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (104:104:104) (135:135:135))
+ (PORT datab (102:102:102) (131:131:131))
+ (PORT datac (162:162:162) (194:194:194))
+ (PORT datad (450:450:450) (515:515:515))
+ (IOPATH dataa combout (166:166:166) (159:159:159))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (874:874:874) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (860:860:860) (864:864:864))
+ (PORT sclr (812:812:812) (768:768:768))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (221:221:221) (268:268:268))
+ (PORT datab (663:663:663) (778:778:778))
+ (PORT datac (342:342:342) (407:407:407))
+ (PORT datad (90:90:90) (107:107:107))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (357:357:357) (422:422:422))
+ (PORT datab (106:106:106) (135:135:135))
+ (PORT datac (89:89:89) (110:110:110))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (874:874:874) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (860:860:860) (864:864:864))
+ (PORT sclr (812:812:812) (768:768:768))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (338:338:338) (397:397:397))
+ (PORT datab (146:146:146) (196:196:196))
+ (PORT datac (373:373:373) (452:452:452))
+ (PORT datad (93:93:93) (112:112:112))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (160:160:160) (218:218:218))
+ (PORT datab (132:132:132) (166:166:166))
+ (PORT datad (353:353:353) (413:413:413))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH datab combout (196:196:196) (205:205:205))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (165:165:165) (193:193:193))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (877:877:877) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (546:546:546) (625:625:625))
+ (PORT clrn (870:870:870) (876:876:876))
+ (PORT sload (723:723:723) (667:667:667))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (131:131:131) (183:183:183))
+ (PORT datab (138:138:138) (189:189:189))
+ (PORT datad (615:615:615) (724:724:724))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (631:631:631) (753:753:753))
+ (PORT datab (138:138:138) (189:189:189))
+ (PORT datac (187:187:187) (234:234:234))
+ (IOPATH dataa combout (188:188:188) (184:184:184))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (877:877:877) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (546:546:546) (624:624:624))
+ (PORT clrn (870:870:870) (876:876:876))
+ (PORT sload (723:723:723) (667:667:667))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (134:134:134) (185:185:185))
+ (PORT datab (134:134:134) (183:183:183))
+ (PORT datad (619:619:619) (729:729:729))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (844:844:844) (840:840:840))
+ (PORT D (578:578:578) (632:632:632))
+ (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (231:231:231))
+ (HOLD D (negedge ENA) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (844:844:844) (840:840:840))
+ (PORT d (613:613:613) (663:663:663))
+ (IOPATH (posedge clk) q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (40:40:40))
+ (HOLD d (posedge clk) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (923:923:923) (943:943:943))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (243:243:243) (236:236:236))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (236:236:236))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (444:444:444) (517:517:517))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (876:876:876) (883:883:883))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (913:913:913) (1034:1034:1034))
+ (PORT clrn (862:862:862) (866:866:866))
+ (PORT sload (759:759:759) (695:695:695))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (153:153:153) (210:210:210))
+ (PORT datab (108:108:108) (139:139:139))
+ (PORT datac (140:140:140) (186:186:186))
+ (PORT datad (230:230:230) (285:285:285))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg1)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (886:886:886))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (865:865:865) (869:869:869))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (339:339:339) (406:406:406))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (873:873:873) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (860:860:860) (863:863:863))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (457:457:457) (534:534:534))
+ (PORT datab (150:150:150) (201:201:201))
+ (PORT datac (765:765:765) (896:896:896))
+ (PORT datad (501:501:501) (591:591:591))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datab combout (188:188:188) (193:193:193))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (873:873:873) (881:881:881))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (860:860:860) (863:863:863))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (536:536:536) (644:644:644))
+ (PORT datad (310:310:310) (371:371:371))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (137:137:137) (191:191:191))
+ (PORT datab (534:534:534) (642:642:642))
+ (PORT datad (118:118:118) (156:156:156))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (169:169:169) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (137:137:137) (191:191:191))
+ (PORT datab (131:131:131) (179:179:179))
+ (PORT datad (514:514:514) (613:613:613))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (370:370:370) (450:450:450))
+ (PORT datab (131:131:131) (180:180:180))
+ (PORT datad (516:516:516) (615:615:615))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (132:132:132) (181:181:181))
+ (PORT datac (119:119:119) (160:160:160))
+ (PORT datad (513:513:513) (612:612:612))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (869:869:869) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~37)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (226:226:226) (280:280:280))
+ (PORT datab (110:110:110) (141:141:141))
+ (PORT datac (287:287:287) (321:321:321))
+ (PORT datad (319:319:319) (370:370:370))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (223:223:223) (276:276:276))
+ (PORT datac (181:181:181) (221:221:221))
+ (PORT datad (305:305:305) (358:358:358))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~33)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (107:107:107) (138:138:138))
+ (PORT datac (267:267:267) (304:304:304))
+ (PORT datad (101:101:101) (124:124:124))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[13\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (882:882:882) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (875:875:875) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~32)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (199:199:199) (240:240:240))
+ (PORT datab (108:108:108) (138:138:138))
+ (PORT datac (269:269:269) (306:306:306))
+ (PORT datad (116:116:116) (138:138:138))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[15\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (882:882:882) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (875:875:875) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (205:205:205) (241:241:241))
+ (PORT datab (205:205:205) (262:262:262))
+ (PORT datac (323:323:323) (382:382:382))
+ (PORT datad (201:201:201) (251:251:251))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (881:881:881) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (874:874:874) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (462:462:462) (541:541:541))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[13\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (379:379:379) (449:449:449))
+ (PORT datab (205:205:205) (262:262:262))
+ (PORT datac (322:322:322) (381:381:381))
+ (PORT datad (123:123:123) (153:153:153))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (881:881:881) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (874:874:874) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[12\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (380:380:380) (450:450:450))
+ (PORT datab (217:217:217) (274:274:274))
+ (PORT datac (316:316:316) (374:374:374))
+ (PORT datad (126:126:126) (158:158:158))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (881:881:881) (888:888:888))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (874:874:874) (880:880:880))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (477:477:477) (567:567:567))
+ (PORT datac (457:457:457) (539:539:539))
+ (PORT datad (472:472:472) (561:561:561))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (476:476:476) (567:567:567))
+ (PORT datad (473:473:473) (562:562:562))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (476:476:476) (567:567:567))
+ (PORT datac (454:454:454) (535:535:535))
+ (PORT datad (473:473:473) (562:562:562))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (157:157:157) (214:214:214))
+ (PORT datab (155:155:155) (208:208:208))
+ (PORT datac (138:138:138) (183:183:183))
+ (PORT datad (137:137:137) (177:177:177))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (164:164:164) (192:192:192))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (155:155:155) (212:212:212))
+ (PORT datab (153:153:153) (207:207:207))
+ (PORT datac (137:137:137) (182:182:182))
+ (PORT datad (137:137:137) (176:176:176))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH datab combout (188:188:188) (177:177:177))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (330:330:330) (394:394:394))
+ (PORT datab (444:444:444) (513:513:513))
+ (PORT datac (467:467:467) (555:555:555))
+ (PORT datad (371:371:371) (442:442:442))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (879:879:879) (885:885:885))
+ (PORT asdata (617:617:617) (691:691:691))
+ (PORT clrn (872:872:872) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (250:250:250) (309:309:309))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (477:477:477) (568:568:568))
+ (PORT datac (458:458:458) (540:540:540))
+ (PORT datad (472:472:472) (561:561:561))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (326:326:326) (392:392:392))
+ (PORT datab (338:338:338) (402:402:402))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (167:167:167) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (336:336:336) (409:409:409))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (339:339:339) (401:401:401))
+ (PORT datab (321:321:321) (369:369:369))
+ (PORT datac (278:278:278) (320:320:320))
+ (PORT datad (92:92:92) (110:110:110))
+ (IOPATH dataa combout (172:172:172) (165:165:165))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (318:318:318) (381:381:381))
+ (PORT datab (314:314:314) (365:365:365))
+ (PORT datad (139:139:139) (182:182:182))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (192:192:192) (181:181:181))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (253:253:253) (316:316:316))
+ (PORT datab (240:240:240) (294:294:294))
+ (IOPATH dataa combout (172:172:172) (165:165:165))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (169:169:169) (167:167:167))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (294:294:294) (343:343:343))
+ (PORT datab (312:312:312) (373:373:373))
+ (PORT datac (90:90:90) (110:110:110))
+ (PORT datad (281:281:281) (318:318:318))
+ (IOPATH dataa combout (195:195:195) (193:193:193))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (250:250:250) (312:312:312))
+ (PORT datab (245:245:245) (300:300:300))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (349:349:349) (416:416:416))
+ (PORT datab (103:103:103) (131:131:131))
+ (PORT datac (295:295:295) (331:331:331))
+ (PORT datad (94:94:94) (112:112:112))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (175:175:175) (218:218:218))
+ (PORT datab (103:103:103) (131:131:131))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (198:198:198) (238:238:238))
+ (PORT datab (171:171:171) (209:209:209))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (879:879:879) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (PORT sclr (604:604:604) (591:591:591))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (142:142:142) (192:192:192))
+ (PORT datab (151:151:151) (203:203:203))
+ (PORT datac (140:140:140) (180:180:180))
+ (PORT datad (132:132:132) (170:170:170))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (315:315:315) (370:370:370))
+ (PORT datad (371:371:371) (442:442:442))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (338:338:338) (395:395:395))
+ (PORT datab (341:341:341) (405:405:405))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (879:879:879) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (PORT sclr (604:604:604) (591:591:591))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (340:340:340) (405:405:405))
+ (PORT datab (218:218:218) (273:273:273))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (324:324:324) (389:389:389))
+ (PORT datab (340:340:340) (404:404:404))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (190:190:190) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (337:337:337) (398:398:398))
+ (PORT datab (104:104:104) (133:133:133))
+ (PORT datac (90:90:90) (111:111:111))
+ (PORT datad (305:305:305) (348:348:348))
+ (IOPATH dataa combout (188:188:188) (179:179:179))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (191:191:191) (228:228:228))
+ (PORT datab (103:103:103) (132:132:132))
+ (PORT datac (319:319:319) (365:365:365))
+ (PORT datad (161:161:161) (189:189:189))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (278:278:278) (320:320:320))
+ (PORT datab (103:103:103) (131:131:131))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (879:879:879) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (PORT sclr (604:604:604) (591:591:591))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (325:325:325) (395:395:395))
+ (PORT datab (155:155:155) (203:203:203))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (166:166:166) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (336:336:336) (409:409:409))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (335:335:335) (397:397:397))
+ (PORT datab (104:104:104) (133:133:133))
+ (PORT datac (172:172:172) (206:206:206))
+ (PORT datad (91:91:91) (109:109:109))
+ (IOPATH dataa combout (166:166:166) (159:159:159))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (106:106:106) (138:138:138))
+ (PORT datab (189:189:189) (228:228:228))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab combout (191:191:191) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (879:879:879) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (PORT sclr (604:604:604) (591:591:591))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (328:328:328) (393:393:393))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (326:326:326) (391:391:391))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (128:128:128) (164:164:164))
+ (PORT datab (175:175:175) (214:214:214))
+ (PORT datac (293:293:293) (337:337:337))
+ (PORT datad (166:166:166) (196:196:196))
+ (IOPATH dataa combout (165:165:165) (159:159:159))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (135:135:135) (174:174:174))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (327:327:327) (392:392:392))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (332:332:332) (393:393:393))
+ (PORT datab (279:279:279) (326:326:326))
+ (PORT datac (159:159:159) (191:191:191))
+ (PORT datad (309:309:309) (353:353:353))
+ (IOPATH dataa combout (166:166:166) (159:159:159))
+ (IOPATH datab combout (167:167:167) (158:158:158))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (337:337:337) (399:399:399))
+ (PORT datab (185:185:185) (222:222:222))
+ (PORT datac (90:90:90) (111:111:111))
+ (PORT datad (92:92:92) (110:110:110))
+ (IOPATH dataa combout (172:172:172) (165:165:165))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (292:292:292) (336:336:336))
+ (PORT datad (172:172:172) (203:203:203))
+ (IOPATH datab combout (196:196:196) (205:205:205))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (879:879:879) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (872:872:872) (877:877:877))
+ (PORT sclr (604:604:604) (591:591:591))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sclr (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (103:103:103) (134:134:134))
+ (PORT datab (102:102:102) (131:131:131))
+ (PORT datac (191:191:191) (240:240:240))
+ (PORT datad (314:314:314) (366:366:366))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (166:166:166) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (353:353:353) (417:417:417))
+ (PORT datab (260:260:260) (325:325:325))
+ (PORT datad (192:192:192) (224:224:224))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT asdata (666:666:666) (754:754:754))
+ (PORT clrn (871:871:871) (877:877:877))
+ (PORT sload (497:497:497) (467:467:467))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ (HOLD sload (posedge clk) (84:84:84))
+ (HOLD asdata (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (152:152:152) (203:203:203))
+ (PORT datac (484:484:484) (573:573:573))
+ (PORT datad (241:241:241) (298:298:298))
+ (IOPATH datab combout (167:167:167) (174:174:174))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (878:878:878) (885:885:885))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (871:871:871) (877:877:877))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (130:130:130) (178:178:178))
+ (PORT datad (491:491:491) (582:582:582))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (133:133:133) (185:185:185))
+ (PORT datac (119:119:119) (161:161:161))
+ (PORT datad (498:498:498) (589:589:589))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (131:131:131) (183:183:183))
+ (PORT datac (118:118:118) (159:159:159))
+ (PORT datad (494:494:494) (585:585:585))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (131:131:131) (182:182:182))
+ (PORT datab (132:132:132) (180:180:180))
+ (PORT datad (498:498:498) (590:590:590))
+ (IOPATH dataa combout (166:166:166) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (130:130:130) (179:179:179))
+ (PORT datac (188:188:188) (235:235:235))
+ (PORT datad (499:499:499) (591:591:591))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (847:847:847) (842:842:842))
+ (PORT D (560:560:560) (617:617:617))
+ (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (231:231:231))
+ (HOLD D (negedge ENA) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (847:847:847) (842:842:842))
+ (PORT d (748:748:748) (810:810:810))
+ (IOPATH (posedge clk) q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (40:40:40))
+ (HOLD d (posedge clk) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (926:926:926) (945:945:945))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (243:243:243) (236:236:236))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (236:236:236))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (842:842:842) (837:837:837))
+ (PORT D (597:597:597) (553:553:553))
+ (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (231:231:231))
+ (HOLD D (negedge ENA) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (842:842:842) (837:837:837))
+ (PORT d (726:726:726) (664:664:664))
+ (IOPATH (posedge clk) q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (40:40:40))
+ (HOLD d (posedge clk) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (921:921:921) (940:940:940))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (243:243:243) (236:236:236))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (236:236:236))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (844:844:844) (840:840:840))
+ (PORT D (627:627:627) (583:583:583))
+ (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (231:231:231))
+ (HOLD D (negedge ENA) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (844:844:844) (840:840:840))
+ (PORT d (668:668:668) (608:608:608))
+ (IOPATH (posedge clk) q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (40:40:40))
+ (HOLD d (posedge clk) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (923:923:923) (943:943:943))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (243:243:243) (236:236:236))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (236:236:236))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (847:847:847) (842:842:842))
+ (PORT D (612:612:612) (565:565:565))
+ (IOPATH (negedge ENA) Q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (231:231:231))
+ (HOLD D (negedge ENA) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (847:847:847) (842:842:842))
+ (PORT d (815:815:815) (743:743:743))
+ (IOPATH (posedge clk) q (103:103:103) (103:103:103))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (40:40:40))
+ (HOLD d (posedge clk) (58:58:58))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (926:926:926) (945:945:945))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (243:243:243) (236:236:236))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (236:236:236))
+ )
+ )
+)
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf
index becb4c6..6ca2a62 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf
@@ -1,623 +1,623 @@
-vendor_name = ModelSim
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/rtl/hdmi/encode.v
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/rtl/vga_pic.v
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/rtl/vga_ctrl.v
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/rtl/hdmi_colorbar.v
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v
-source_file = 1, output_files/Chain1.cdf
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/db/hdmi_colorbar.cbx.xml
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/altpll.tdf
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/aglobal130.inc
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/stratix_pll.inc
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/stratixii_pll.inc
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/cycloneii_pll.inc
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/cbx.lst
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/db/clk_gen_altpll.v
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/altddio_out.tdf
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/stratix_ddio.inc
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/cyclone_ddio.inc
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_mux.inc
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/stratix_lcell.inc
-source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/db/ddio_out_p9j.tdf
-design_name = hdmi_colorbar
-instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll1 , clk_gen_inst|altpll_component|auto_generated|pll1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[0] , hdmi_ctrl_inst|encode_inst1|data_out[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[0] , hdmi_ctrl_inst|encode_inst0|cnt[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[1] , hdmi_ctrl_inst|encode_inst0|cnt[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[2] , hdmi_ctrl_inst|encode_inst0|cnt[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[3] , hdmi_ctrl_inst|encode_inst0|data_out[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[2] , hdmi_ctrl_inst|encode_inst1|data_out[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[3] , hdmi_ctrl_inst|encode_inst2|data_out[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add20~0 , hdmi_ctrl_inst|encode_inst0|Add20~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add20~2 , hdmi_ctrl_inst|encode_inst0|Add20~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add20~4 , hdmi_ctrl_inst|encode_inst0|Add20~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add20~6 , hdmi_ctrl_inst|encode_inst0|Add20~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~0 , hdmi_ctrl_inst|encode_inst0|Add17~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~2 , hdmi_ctrl_inst|encode_inst0|Add17~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~4 , hdmi_ctrl_inst|encode_inst0|Add17~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~6 , hdmi_ctrl_inst|encode_inst0|Add17~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~8 , hdmi_ctrl_inst|encode_inst0|Add17~8, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add23~0 , hdmi_ctrl_inst|encode_inst0|Add23~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add23~2 , hdmi_ctrl_inst|encode_inst0|Add23~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add23~4 , hdmi_ctrl_inst|encode_inst0|Add23~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add23~6 , hdmi_ctrl_inst|encode_inst0|Add23~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~0 , hdmi_ctrl_inst|encode_inst0|Add15~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~2 , hdmi_ctrl_inst|encode_inst0|Add15~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~4 , hdmi_ctrl_inst|encode_inst0|Add15~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~6 , hdmi_ctrl_inst|encode_inst0|Add15~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~8 , hdmi_ctrl_inst|encode_inst0|Add15~8, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add19~4 , hdmi_ctrl_inst|encode_inst0|Add19~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add22~4 , hdmi_ctrl_inst|encode_inst0|Add22~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 , hdmi_ctrl_inst|encode_inst0|cnt[0]~7, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 , hdmi_ctrl_inst|encode_inst0|cnt[1]~9, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 , hdmi_ctrl_inst|encode_inst0|cnt[2]~11, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[4] , hdmi_ctrl_inst|encode_inst0|data_out[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add20~0 , hdmi_ctrl_inst|encode_inst1|Add20~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add20~2 , hdmi_ctrl_inst|encode_inst1|Add20~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add20~4 , hdmi_ctrl_inst|encode_inst1|Add20~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add20~6 , hdmi_ctrl_inst|encode_inst1|Add20~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~0 , hdmi_ctrl_inst|encode_inst1|Add17~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~2 , hdmi_ctrl_inst|encode_inst1|Add17~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~4 , hdmi_ctrl_inst|encode_inst1|Add17~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~6 , hdmi_ctrl_inst|encode_inst1|Add17~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~8 , hdmi_ctrl_inst|encode_inst1|Add17~8, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add23~0 , hdmi_ctrl_inst|encode_inst1|Add23~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add23~4 , hdmi_ctrl_inst|encode_inst1|Add23~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add23~6 , hdmi_ctrl_inst|encode_inst1|Add23~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~2 , hdmi_ctrl_inst|encode_inst1|Add15~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~6 , hdmi_ctrl_inst|encode_inst1|Add15~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~8 , hdmi_ctrl_inst|encode_inst1|Add15~8, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add19~0 , hdmi_ctrl_inst|encode_inst1|Add19~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add19~2 , hdmi_ctrl_inst|encode_inst1|Add19~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add19~4 , hdmi_ctrl_inst|encode_inst1|Add19~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add19~6 , hdmi_ctrl_inst|encode_inst1|Add19~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add22~0 , hdmi_ctrl_inst|encode_inst1|Add22~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add22~2 , hdmi_ctrl_inst|encode_inst1|Add22~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add22~4 , hdmi_ctrl_inst|encode_inst1|Add22~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[4] , hdmi_ctrl_inst|encode_inst1|data_out[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add20~0 , hdmi_ctrl_inst|encode_inst2|Add20~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add20~4 , hdmi_ctrl_inst|encode_inst2|Add20~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~0 , hdmi_ctrl_inst|encode_inst2|Add17~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~2 , hdmi_ctrl_inst|encode_inst2|Add17~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~4 , hdmi_ctrl_inst|encode_inst2|Add17~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~6 , hdmi_ctrl_inst|encode_inst2|Add17~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add23~0 , hdmi_ctrl_inst|encode_inst2|Add23~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add23~4 , hdmi_ctrl_inst|encode_inst2|Add23~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~2 , hdmi_ctrl_inst|encode_inst2|Add15~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~4 , hdmi_ctrl_inst|encode_inst2|Add15~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~6 , hdmi_ctrl_inst|encode_inst2|Add15~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~8 , hdmi_ctrl_inst|encode_inst2|Add15~8, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add19~2 , hdmi_ctrl_inst|encode_inst2|Add19~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add19~4 , hdmi_ctrl_inst|encode_inst2|Add19~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add19~6 , hdmi_ctrl_inst|encode_inst2|Add19~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add22~0 , hdmi_ctrl_inst|encode_inst2|Add22~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add22~2 , hdmi_ctrl_inst|encode_inst2|Add22~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add22~4 , hdmi_ctrl_inst|encode_inst2|Add22~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add22~6 , hdmi_ctrl_inst|encode_inst2|Add22~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[4] , hdmi_ctrl_inst|encode_inst2|data_out[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[6] , hdmi_ctrl_inst|encode_inst0|data_out[6], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[7] , hdmi_ctrl_inst|encode_inst1|data_out[7], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~2 , vga_ctrl_inst|Add0~2, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~10 , vga_ctrl_inst|Add0~10, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~2 , vga_ctrl_inst|Add1~2, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~6 , vga_ctrl_inst|Add1~6, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~8 , vga_ctrl_inst|Add1~8, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~10 , vga_ctrl_inst|Add1~10, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~12 , vga_ctrl_inst|Add1~12, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~14 , vga_ctrl_inst|Add1~14, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~16 , vga_ctrl_inst|Add1~16, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~18 , vga_ctrl_inst|Add1~18, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~20 , vga_ctrl_inst|Add1~20, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] , hdmi_ctrl_inst|encode_inst0|q_m_n1[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|condition_3~1 , hdmi_ctrl_inst|encode_inst0|condition_3~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Equal1~0 , hdmi_ctrl_inst|encode_inst0|Equal1~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] , hdmi_ctrl_inst|encode_inst2|q_m_n0[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|condition_3~1 , hdmi_ctrl_inst|encode_inst2|condition_3~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[2] , hdmi_ctrl_inst|encode_inst2|data_out[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~0 , hdmi_ctrl_inst|encode_inst0|Add16~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~1 , hdmi_ctrl_inst|encode_inst0|Add16~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~3 , hdmi_ctrl_inst|encode_inst0|Add16~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~4 , hdmi_ctrl_inst|encode_inst0|Add16~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~5 , hdmi_ctrl_inst|encode_inst0|Add16~5, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~7 , hdmi_ctrl_inst|encode_inst0|Add16~7, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~8 , hdmi_ctrl_inst|encode_inst0|Add16~8, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~11 , hdmi_ctrl_inst|encode_inst0|Add16~11, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~12 , hdmi_ctrl_inst|encode_inst0|Add16~12, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~16 , hdmi_ctrl_inst|encode_inst0|Add16~16, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Equal1~1 , hdmi_ctrl_inst|encode_inst0|Equal1~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add12~1 , hdmi_ctrl_inst|encode_inst0|Add12~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] , hdmi_ctrl_inst|encode_inst0|q_m_reg[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~2 , hdmi_ctrl_inst|encode_inst0|data_out~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~0 , hdmi_ctrl_inst|encode_inst1|Add16~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~1 , hdmi_ctrl_inst|encode_inst1|Add16~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~5 , hdmi_ctrl_inst|encode_inst1|Add16~5, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~6 , hdmi_ctrl_inst|encode_inst1|Add16~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~7 , hdmi_ctrl_inst|encode_inst1|Add16~7, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~8 , hdmi_ctrl_inst|encode_inst1|Add16~8, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~13 , hdmi_ctrl_inst|encode_inst1|Add16~13, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~14 , hdmi_ctrl_inst|encode_inst1|Add16~14, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~16 , hdmi_ctrl_inst|encode_inst1|Add16~16, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Equal2~1 , hdmi_ctrl_inst|encode_inst1|Equal2~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] , hdmi_ctrl_inst|encode_inst1|q_m_reg[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~2 , hdmi_ctrl_inst|encode_inst1|data_out~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~2 , hdmi_ctrl_inst|encode_inst2|Add16~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~3 , hdmi_ctrl_inst|encode_inst2|Add16~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~4 , hdmi_ctrl_inst|encode_inst2|Add16~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~9 , hdmi_ctrl_inst|encode_inst2|Add16~9, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~10 , hdmi_ctrl_inst|encode_inst2|Add16~10, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~11 , hdmi_ctrl_inst|encode_inst2|Add16~11, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~12 , hdmi_ctrl_inst|encode_inst2|Add16~12, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~13 , hdmi_ctrl_inst|encode_inst2|Add16~13, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~16 , hdmi_ctrl_inst|encode_inst2|Add16~16, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Equal2~1 , hdmi_ctrl_inst|encode_inst2|Equal2~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add14~1 , hdmi_ctrl_inst|encode_inst2|Add14~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] , hdmi_ctrl_inst|encode_inst2|q_m_reg[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~2 , hdmi_ctrl_inst|encode_inst2|data_out~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~3 , hdmi_ctrl_inst|encode_inst2|data_out~3, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~0 , vga_ctrl_inst|pix_data_req~0, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[10] , vga_ctrl_inst|cnt_v[10], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~3 , vga_ctrl_inst|pix_data_req~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 , hdmi_ctrl_inst|encode_inst0|q_m[3]~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] , hdmi_ctrl_inst|encode_inst0|q_m_reg[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~5 , hdmi_ctrl_inst|encode_inst0|data_out~5, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] , hdmi_ctrl_inst|encode_inst1|q_m_reg[5], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] , hdmi_ctrl_inst|encode_inst1|q_m_reg[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~4 , hdmi_ctrl_inst|encode_inst1|data_out~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 , hdmi_ctrl_inst|encode_inst2|q_m[3]~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] , hdmi_ctrl_inst|encode_inst2|q_m_reg[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~5 , hdmi_ctrl_inst|encode_inst2|data_out~5, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[1] , vga_ctrl_inst|cnt_h[1], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_x[10]~1 , vga_ctrl_inst|pix_x[10]~1, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|always0~1 , vga_pic_inst|always0~1, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|always0~2 , vga_pic_inst|always0~2, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[9]~14 , vga_pic_inst|pix_data[9]~14, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~16 , vga_pic_inst|pix_data~16, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~8 , vga_ctrl_inst|pix_data_req~8, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[10]~12 , vga_ctrl_inst|cnt_v[10]~12, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 , hdmi_ctrl_inst|encode_inst0|q_m[4]~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 , hdmi_ctrl_inst|encode_inst0|data_out[6]~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[8] , hdmi_ctrl_inst|encode_inst0|data_out[8], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|LessThan10~0 , vga_pic_inst|LessThan10~0, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~22 , vga_pic_inst|pix_data~22, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~23 , vga_pic_inst|pix_data~23, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|LessThan14~1 , vga_pic_inst|LessThan14~1, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[13]~24 , vga_pic_inst|pix_data[13]~24, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~25 , vga_pic_inst|pix_data~25, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 , hdmi_ctrl_inst|encode_inst1|q_m[5]~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] , hdmi_ctrl_inst|encode_inst1|q_m_reg[7], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~5 , hdmi_ctrl_inst|encode_inst1|data_out~5, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[9] , hdmi_ctrl_inst|encode_inst1|data_out[9], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 , hdmi_ctrl_inst|encode_inst2|q_m[4]~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[8] , hdmi_ctrl_inst|encode_inst2|data_out[8], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~8 , hdmi_ctrl_inst|encode_inst0|data_out~8, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 , hdmi_ctrl_inst|encode_inst1|q_m[7]~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~6 , hdmi_ctrl_inst|encode_inst1|data_out~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~8 , hdmi_ctrl_inst|encode_inst2|data_out~8, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~37 , vga_pic_inst|pix_data~37, hdmi_colorbar, 1
-instance = comp, \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl , clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder , hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder , hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder , hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder , hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder, hdmi_colorbar, 1
-instance = comp, \ddc_scl~output , ddc_scl~output, hdmi_colorbar, 1
-instance = comp, \ddc_sda~output , ddc_sda~output, hdmi_colorbar, 1
-instance = comp, \tmds_clk_p~output , tmds_clk_p~output, hdmi_colorbar, 1
-instance = comp, \tmds_clk_n~output , tmds_clk_n~output, hdmi_colorbar, 1
-instance = comp, \tmds_data_p[0]~output , tmds_data_p[0]~output, hdmi_colorbar, 1
-instance = comp, \tmds_data_p[1]~output , tmds_data_p[1]~output, hdmi_colorbar, 1
-instance = comp, \tmds_data_p[2]~output , tmds_data_p[2]~output, hdmi_colorbar, 1
-instance = comp, \tmds_data_n[0]~output , tmds_data_n[0]~output, hdmi_colorbar, 1
-instance = comp, \tmds_data_n[1]~output , tmds_data_n[1]~output, hdmi_colorbar, 1
-instance = comp, \tmds_data_n[2]~output , tmds_data_n[2]~output, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 , hdmi_ctrl_inst|par_to_ser_inst0|cnt~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] , hdmi_ctrl_inst|par_to_ser_inst0|cnt[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 , hdmi_ctrl_inst|par_to_ser_inst0|Add0~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] , hdmi_ctrl_inst|par_to_ser_inst0|cnt[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 , hdmi_ctrl_inst|par_to_ser_inst0|Add0~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] , hdmi_ctrl_inst|par_to_ser_inst0|cnt[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0], hdmi_colorbar, 1
-instance = comp, \sys_clk~input , sys_clk~input, hdmi_colorbar, 1
-instance = comp, \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl , clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~0 , vga_ctrl_inst|Add0~0, hdmi_colorbar, 1
-instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder , clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder, hdmi_colorbar, 1
-instance = comp, \sys_rst_n~input , sys_rst_n~input, hdmi_colorbar, 1
-instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync , clk_gen_inst|altpll_component|auto_generated|pll_lock_sync, hdmi_colorbar, 1
-instance = comp, \rst_n~0 , rst_n~0, hdmi_colorbar, 1
-instance = comp, \rst_n~0clkctrl , rst_n~0clkctrl, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[0] , vga_ctrl_inst|cnt_h[0], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~4 , vga_ctrl_inst|Add0~4, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~6 , vga_ctrl_inst|Add0~6, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[3] , vga_ctrl_inst|cnt_h[3], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~8 , vga_ctrl_inst|Add0~8, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[4] , vga_ctrl_inst|cnt_h[4], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~12 , vga_ctrl_inst|Add0~12, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[6] , vga_ctrl_inst|cnt_h[6], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~14 , vga_ctrl_inst|Add0~14, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[7] , vga_ctrl_inst|cnt_h[7], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[2] , vga_ctrl_inst|cnt_h[2], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Equal0~0 , vga_ctrl_inst|Equal0~0, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~16 , vga_ctrl_inst|Add0~16, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~18 , vga_ctrl_inst|Add0~18, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~20 , vga_ctrl_inst|Add0~20, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[10] , vga_ctrl_inst|cnt_h[10], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~22 , vga_ctrl_inst|Add0~22, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[11] , vga_ctrl_inst|cnt_h[11], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Equal0~1 , vga_ctrl_inst|Equal0~1, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h~0 , vga_ctrl_inst|cnt_h~0, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[5] , vga_ctrl_inst|cnt_h[5], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Equal0~2 , vga_ctrl_inst|Equal0~2, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h~2 , vga_ctrl_inst|cnt_h~2, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[8] , vga_ctrl_inst|cnt_h[8], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h~1 , vga_ctrl_inst|cnt_h~1, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[9] , vga_ctrl_inst|cnt_h[9], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|LessThan4~0 , vga_ctrl_inst|LessThan4~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add4~0 , hdmi_ctrl_inst|encode_inst0|Add4~0, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~1 , vga_ctrl_inst|Add2~1, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~3 , vga_ctrl_inst|Add2~3, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~5 , vga_ctrl_inst|Add2~5, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~7 , vga_ctrl_inst|Add2~7, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~9 , vga_ctrl_inst|Add2~9, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~10 , vga_ctrl_inst|Add2~10, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~12 , vga_ctrl_inst|Add2~12, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~14 , vga_ctrl_inst|Add2~14, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~16 , vga_ctrl_inst|Add2~16, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~18 , vga_ctrl_inst|Add2~18, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~12 , vga_pic_inst|pix_data~12, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~5 , vga_ctrl_inst|pix_data_req~5, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Equal0~3 , vga_ctrl_inst|Equal0~3, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[7]~7 , vga_ctrl_inst|cnt_v[7]~7, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[7] , vga_ctrl_inst|cnt_v[7], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[5]~10 , vga_ctrl_inst|cnt_v[5]~10, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[5] , vga_ctrl_inst|cnt_v[5], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[8]~6 , vga_ctrl_inst|cnt_v[8]~6, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[8] , vga_ctrl_inst|cnt_v[8], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|always1~0 , vga_ctrl_inst|always1~0, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[1]~1 , vga_ctrl_inst|cnt_v[1]~1, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[1] , vga_ctrl_inst|cnt_v[1], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[4]~5 , vga_ctrl_inst|cnt_v[4]~5, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[4] , vga_ctrl_inst|cnt_v[4], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|always1~1 , vga_ctrl_inst|always1~1, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~0 , vga_ctrl_inst|Add1~0, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[0]~2 , vga_ctrl_inst|cnt_v[0]~2, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[0] , vga_ctrl_inst|cnt_v[0], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[3]~3 , vga_ctrl_inst|cnt_v[3]~3, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[3] , vga_ctrl_inst|cnt_v[3], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|always1~2 , vga_ctrl_inst|always1~2, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[11]~0 , vga_ctrl_inst|cnt_v[11]~0, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[9]~9 , vga_ctrl_inst|cnt_v[9]~9, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[9] , vga_ctrl_inst|cnt_v[9], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[6]~8 , vga_ctrl_inst|cnt_v[6]~8, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[6] , vga_ctrl_inst|cnt_v[6], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~4 , vga_ctrl_inst|Add1~4, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[2]~4 , vga_ctrl_inst|cnt_v[2]~4, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[2] , vga_ctrl_inst|cnt_v[2], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~22 , vga_ctrl_inst|Add1~22, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[11]~11 , vga_ctrl_inst|cnt_v[11]~11, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[11] , vga_ctrl_inst|cnt_v[11], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~2 , vga_ctrl_inst|pix_data_req~2, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~4 , vga_ctrl_inst|pix_data_req~4, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~6 , vga_ctrl_inst|pix_data_req~6, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~7 , vga_ctrl_inst|pix_data_req~7, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[13]~11 , vga_pic_inst|pix_data[13]~11, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|always0~0 , vga_pic_inst|always0~0, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~13 , vga_pic_inst|pix_data~13, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~17 , vga_pic_inst|pix_data~17, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~34 , vga_pic_inst|pix_data~34, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[13]~8 , vga_pic_inst|pix_data[13]~8, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[13]~9 , vga_pic_inst|pix_data[13]~9, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[13]~10 , vga_pic_inst|pix_data[13]~10, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~18 , vga_pic_inst|pix_data~18, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[4] , vga_pic_inst|pix_data[4], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~20 , vga_ctrl_inst|Add2~20, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|LessThan17~4 , vga_pic_inst|LessThan17~4, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|LessThan17~3 , vga_pic_inst|LessThan17~3, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|LessThan14~0 , vga_pic_inst|LessThan14~0, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~19 , vga_pic_inst|pix_data~19, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~20 , vga_pic_inst|pix_data~20, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[0] , vga_pic_inst|pix_data[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add6~0 , hdmi_ctrl_inst|encode_inst0|Add6~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] , hdmi_ctrl_inst|encode_inst0|data_in_n1[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 , hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] , hdmi_ctrl_inst|encode_inst0|q_m_reg[8], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] , hdmi_ctrl_inst|encode_inst0|q_m_reg[1], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|LessThan6~0 , vga_ctrl_inst|LessThan6~0, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~1 , vga_ctrl_inst|pix_data_req~1, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[1]~0 , vga_ctrl_inst|rgb[1]~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] , hdmi_ctrl_inst|encode_inst0|data_in_reg[4], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[2]~1 , vga_ctrl_inst|rgb[2]~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] , hdmi_ctrl_inst|encode_inst0|data_in_reg[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add14~0 , hdmi_ctrl_inst|encode_inst0|Add14~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 , hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] , hdmi_ctrl_inst|encode_inst0|q_m_n0[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add19~0 , hdmi_ctrl_inst|encode_inst0|Add19~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add19~2 , hdmi_ctrl_inst|encode_inst0|Add19~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add19~6 , hdmi_ctrl_inst|encode_inst0|Add19~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add22~0 , hdmi_ctrl_inst|encode_inst0|Add22~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add22~2 , hdmi_ctrl_inst|encode_inst0|Add22~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add22~6 , hdmi_ctrl_inst|encode_inst0|Add22~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~2 , hdmi_ctrl_inst|encode_inst0|Add16~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 , hdmi_ctrl_inst|encode_inst0|data_out[0]~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] , hdmi_ctrl_inst|encode_inst0|q_m_n0[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~9 , hdmi_ctrl_inst|encode_inst0|Add16~9, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~10 , hdmi_ctrl_inst|encode_inst0|Add16~10, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add12~0 , hdmi_ctrl_inst|encode_inst0|Add12~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] , hdmi_ctrl_inst|encode_inst0|q_m_n1[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add14~1 , hdmi_ctrl_inst|encode_inst0|Add14~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] , hdmi_ctrl_inst|encode_inst0|q_m_n0[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~13 , hdmi_ctrl_inst|encode_inst0|Add16~13, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~14 , hdmi_ctrl_inst|encode_inst0|Add16~14, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] , hdmi_ctrl_inst|encode_inst0|q_m_n1[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~15 , hdmi_ctrl_inst|encode_inst0|Add16~15, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Equal2~0 , hdmi_ctrl_inst|encode_inst0|Equal2~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Equal2~1 , hdmi_ctrl_inst|encode_inst0|Equal2~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 , hdmi_ctrl_inst|encode_inst0|cnt[0]~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 , hdmi_ctrl_inst|encode_inst0|cnt[3]~13, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add4~1 , hdmi_ctrl_inst|encode_inst0|Add4~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|de_reg1 , hdmi_ctrl_inst|encode_inst2|de_reg1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder , hdmi_ctrl_inst|encode_inst2|de_reg2~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|de_reg2 , hdmi_ctrl_inst|encode_inst2|de_reg2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[3] , hdmi_ctrl_inst|encode_inst0|cnt[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|condition_2 , hdmi_ctrl_inst|encode_inst0|condition_2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~6 , hdmi_ctrl_inst|encode_inst0|Add16~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 , hdmi_ctrl_inst|encode_inst0|cnt[4]~15, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[4] , hdmi_ctrl_inst|encode_inst0|cnt[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|condition_3~0 , hdmi_ctrl_inst|encode_inst0|condition_3~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|condition_3~2 , hdmi_ctrl_inst|encode_inst0|condition_3~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~1 , hdmi_ctrl_inst|encode_inst0|data_out~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder , hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|LessThan0~0 , vga_ctrl_inst|LessThan0~0, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|LessThan0~1 , vga_ctrl_inst|LessThan0~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|c0_reg1 , hdmi_ctrl_inst|encode_inst2|c0_reg1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|c0_reg2 , hdmi_ctrl_inst|encode_inst2|c0_reg2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[1] , hdmi_ctrl_inst|encode_inst0|data_out[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 , hdmi_ctrl_inst|encode_inst0|q_m[7]~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] , hdmi_ctrl_inst|encode_inst0|q_m_reg[5], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~4 , hdmi_ctrl_inst|encode_inst0|data_out~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder , hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[5] , hdmi_ctrl_inst|encode_inst0|data_out[5], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|LessThan1~0 , vga_ctrl_inst|LessThan1~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|c1_reg1 , hdmi_ctrl_inst|encode_inst2|c1_reg1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder , hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|c1_reg2 , hdmi_ctrl_inst|encode_inst2|c1_reg2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~7 , hdmi_ctrl_inst|encode_inst0|data_out~7, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[9] , hdmi_ctrl_inst|encode_inst0|data_out[9], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[0] , hdmi_ctrl_inst|encode_inst0|data_out[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~3 , hdmi_ctrl_inst|encode_inst0|data_out~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[2] , hdmi_ctrl_inst|encode_inst0|data_out[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|LessThan17~2 , vga_pic_inst|LessThan17~2, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[9]~15 , vga_pic_inst|pix_data[9]~15, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~35 , vga_pic_inst|pix_data~35, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~36 , vga_pic_inst|pix_data~36, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~21 , vga_pic_inst|pix_data~21, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~26 , vga_pic_inst|pix_data~26, hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_x[11]~0 , vga_ctrl_inst|pix_x[11]~0, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~27 , vga_pic_inst|pix_data~27, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[10] , vga_pic_inst|pix_data[10], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[10]~2 , vga_ctrl_inst|rgb[10]~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] , hdmi_ctrl_inst|encode_inst1|data_in_reg[7], hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~29 , vga_pic_inst|pix_data~29, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~30 , vga_pic_inst|pix_data~30, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~31 , vga_pic_inst|pix_data~31, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[8] , vga_pic_inst|pix_data[8], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[6]~4 , vga_ctrl_inst|rgb[6]~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] , hdmi_ctrl_inst|encode_inst1|data_in_reg[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add5~0 , hdmi_ctrl_inst|encode_inst1|Add5~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] , hdmi_ctrl_inst|encode_inst1|data_in_n1[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add14~1 , hdmi_ctrl_inst|encode_inst1|Add14~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] , hdmi_ctrl_inst|encode_inst1|q_m_n0[1], hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~28 , vga_pic_inst|pix_data~28, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[9] , vga_pic_inst|pix_data[9], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[7]~3 , vga_ctrl_inst|rgb[7]~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] , hdmi_ctrl_inst|encode_inst1|data_in_reg[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add13~0 , hdmi_ctrl_inst|encode_inst1|Add13~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] , hdmi_ctrl_inst|encode_inst1|q_m_n1[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add13~1 , hdmi_ctrl_inst|encode_inst1|Add13~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] , hdmi_ctrl_inst|encode_inst1|q_m_n1[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|condition_3~0 , hdmi_ctrl_inst|encode_inst1|condition_3~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|condition_3~1 , hdmi_ctrl_inst|encode_inst1|condition_3~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add14~2 , hdmi_ctrl_inst|encode_inst1|Add14~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] , hdmi_ctrl_inst|encode_inst1|q_m_n0[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] , hdmi_ctrl_inst|encode_inst1|q_m_n1[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~0 , hdmi_ctrl_inst|encode_inst1|Add15~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~4 , hdmi_ctrl_inst|encode_inst1|Add15~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add14~0 , hdmi_ctrl_inst|encode_inst1|Add14~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] , hdmi_ctrl_inst|encode_inst1|q_m_n0[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add23~2 , hdmi_ctrl_inst|encode_inst1|Add23~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~9 , hdmi_ctrl_inst|encode_inst1|Add16~9, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~10 , hdmi_ctrl_inst|encode_inst1|Add16~10, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 , hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] , hdmi_ctrl_inst|encode_inst1|q_m_reg[8], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~15 , hdmi_ctrl_inst|encode_inst1|Add16~15, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 , hdmi_ctrl_inst|encode_inst1|cnt[0]~7, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[0] , hdmi_ctrl_inst|encode_inst1|cnt[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Equal1~0 , hdmi_ctrl_inst|encode_inst1|Equal1~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Equal1~1 , hdmi_ctrl_inst|encode_inst1|Equal1~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 , hdmi_ctrl_inst|encode_inst1|cnt[0]~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 , hdmi_ctrl_inst|encode_inst1|cnt[1]~9, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 , hdmi_ctrl_inst|encode_inst1|cnt[2]~11, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[2] , hdmi_ctrl_inst|encode_inst1|cnt[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~3 , hdmi_ctrl_inst|encode_inst1|Add16~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~4 , hdmi_ctrl_inst|encode_inst1|Add16~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 , hdmi_ctrl_inst|encode_inst1|cnt[3]~13, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[3] , hdmi_ctrl_inst|encode_inst1|cnt[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Equal2~0 , hdmi_ctrl_inst|encode_inst1|Equal2~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|condition_2 , hdmi_ctrl_inst|encode_inst1|condition_2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 , hdmi_ctrl_inst|encode_inst1|data_out[0]~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~11 , hdmi_ctrl_inst|encode_inst1|Add16~11, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~12 , hdmi_ctrl_inst|encode_inst1|Add16~12, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[1] , hdmi_ctrl_inst|encode_inst1|cnt[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add22~6 , hdmi_ctrl_inst|encode_inst1|Add22~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~2 , hdmi_ctrl_inst|encode_inst1|Add16~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 , hdmi_ctrl_inst|encode_inst1|cnt[4]~15, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[4] , hdmi_ctrl_inst|encode_inst1|cnt[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|condition_3~2 , hdmi_ctrl_inst|encode_inst1|condition_3~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] , hdmi_ctrl_inst|encode_inst1|q_m_reg[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~1 , hdmi_ctrl_inst|encode_inst1|data_out~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[3] , hdmi_ctrl_inst|encode_inst1|data_out[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~3 , hdmi_ctrl_inst|encode_inst1|data_out~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[5] , hdmi_ctrl_inst|encode_inst1|data_out[5], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~7 , hdmi_ctrl_inst|encode_inst1|data_out~7, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[8] , hdmi_ctrl_inst|encode_inst1|data_out[8], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~33 , vga_pic_inst|pix_data~33, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[13] , vga_pic_inst|pix_data[13], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[13]~6 , vga_ctrl_inst|rgb[13]~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] , hdmi_ctrl_inst|encode_inst2|data_in_reg[3], hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~32 , vga_pic_inst|pix_data~32, hdmi_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[15] , vga_pic_inst|pix_data[15], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add6~0 , hdmi_ctrl_inst|encode_inst2|Add6~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] , hdmi_ctrl_inst|encode_inst2|data_in_n1[2], hdmi_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[12]~5 , vga_ctrl_inst|rgb[12]~5, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] , hdmi_ctrl_inst|encode_inst2|data_in_reg[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add12~0 , hdmi_ctrl_inst|encode_inst2|Add12~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] , hdmi_ctrl_inst|encode_inst2|q_m_n1[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add14~0 , hdmi_ctrl_inst|encode_inst2|Add14~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] , hdmi_ctrl_inst|encode_inst2|q_m_n0[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add12~1 , hdmi_ctrl_inst|encode_inst2|Add12~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] , hdmi_ctrl_inst|encode_inst2|q_m_n1[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|condition_3~0 , hdmi_ctrl_inst|encode_inst2|condition_3~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 , hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] , hdmi_ctrl_inst|encode_inst2|q_m_reg[8], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Equal2~0 , hdmi_ctrl_inst|encode_inst2|Equal2~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add19~0 , hdmi_ctrl_inst|encode_inst2|Add19~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~5 , hdmi_ctrl_inst|encode_inst2|Add16~5, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~6 , hdmi_ctrl_inst|encode_inst2|Add16~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Equal1~0 , hdmi_ctrl_inst|encode_inst2|Equal1~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Equal1~1 , hdmi_ctrl_inst|encode_inst2|Equal1~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 , hdmi_ctrl_inst|encode_inst2|cnt[0]~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 , hdmi_ctrl_inst|encode_inst2|cnt[0]~7, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[0] , hdmi_ctrl_inst|encode_inst2|cnt[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~0 , hdmi_ctrl_inst|encode_inst2|Add15~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~15 , hdmi_ctrl_inst|encode_inst2|Add16~15, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 , hdmi_ctrl_inst|encode_inst2|cnt[1]~9, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 , hdmi_ctrl_inst|encode_inst2|cnt[2]~11, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 , hdmi_ctrl_inst|encode_inst2|cnt[3]~13, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[3] , hdmi_ctrl_inst|encode_inst2|cnt[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 , hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] , hdmi_ctrl_inst|encode_inst2|q_m_n0[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|condition_2 , hdmi_ctrl_inst|encode_inst2|condition_2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 , hdmi_ctrl_inst|encode_inst2|data_out[0]~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~14 , hdmi_ctrl_inst|encode_inst2|Add16~14, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[1] , hdmi_ctrl_inst|encode_inst2|cnt[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add23~2 , hdmi_ctrl_inst|encode_inst2|Add23~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add20~2 , hdmi_ctrl_inst|encode_inst2|Add20~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~7 , hdmi_ctrl_inst|encode_inst2|Add16~7, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~8 , hdmi_ctrl_inst|encode_inst2|Add16~8, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[2] , hdmi_ctrl_inst|encode_inst2|cnt[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] , hdmi_ctrl_inst|encode_inst2|q_m_n1[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~8 , hdmi_ctrl_inst|encode_inst2|Add17~8, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add23~6 , hdmi_ctrl_inst|encode_inst2|Add23~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~0 , hdmi_ctrl_inst|encode_inst2|Add16~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add20~6 , hdmi_ctrl_inst|encode_inst2|Add20~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~1 , hdmi_ctrl_inst|encode_inst2|Add16~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 , hdmi_ctrl_inst|encode_inst2|cnt[4]~15, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[4] , hdmi_ctrl_inst|encode_inst2|cnt[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|condition_3~2 , hdmi_ctrl_inst|encode_inst2|condition_3~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] , hdmi_ctrl_inst|encode_inst2|q_m_reg[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~1 , hdmi_ctrl_inst|encode_inst2|data_out~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder , hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[1] , hdmi_ctrl_inst|encode_inst2|data_out[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 , hdmi_ctrl_inst|encode_inst2|q_m[7]~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] , hdmi_ctrl_inst|encode_inst2|q_m_reg[5], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~4 , hdmi_ctrl_inst|encode_inst2|data_out~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder , hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[5] , hdmi_ctrl_inst|encode_inst2|data_out[5], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~7 , hdmi_ctrl_inst|encode_inst2|data_out~7, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[9] , hdmi_ctrl_inst|encode_inst2|data_out[9], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[0] , hdmi_ctrl_inst|encode_inst2|data_out[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 , hdmi_ctrl_inst|encode_inst2|data_out[4]~6, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell , hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[6] , hdmi_ctrl_inst|encode_inst2|data_out[6], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0, hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
-instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
+vendor_name = ModelSim
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/encode.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_pic.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_ctrl.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi_colorbar.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v
+source_file = 1, output_files/Chain1.cdf
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/db/hdmi_colorbar.cbx.xml
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/altpll.tdf
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/aglobal130.inc
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/stratix_pll.inc
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/stratixii_pll.inc
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/cycloneii_pll.inc
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/cbx.lst
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/db/clk_gen_altpll.v
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/altddio_out.tdf
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/stratix_ddio.inc
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/cyclone_ddio.inc
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/lpm_mux.inc
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/stratix_lcell.inc
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/db/ddio_out_p9j.tdf
+design_name = hdmi_colorbar
+instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll1 , clk_gen_inst|altpll_component|auto_generated|pll1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[2] , hdmi_ctrl_inst|encode_inst0|cnt[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[3] , hdmi_ctrl_inst|encode_inst0|cnt[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[2] , hdmi_ctrl_inst|encode_inst1|data_out[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[3] , hdmi_ctrl_inst|encode_inst2|data_out[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add20~0 , hdmi_ctrl_inst|encode_inst0|Add20~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add20~2 , hdmi_ctrl_inst|encode_inst0|Add20~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add20~4 , hdmi_ctrl_inst|encode_inst0|Add20~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add20~6 , hdmi_ctrl_inst|encode_inst0|Add20~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~0 , hdmi_ctrl_inst|encode_inst0|Add17~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~2 , hdmi_ctrl_inst|encode_inst0|Add17~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~4 , hdmi_ctrl_inst|encode_inst0|Add17~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~6 , hdmi_ctrl_inst|encode_inst0|Add17~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~8 , hdmi_ctrl_inst|encode_inst0|Add17~8, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add23~0 , hdmi_ctrl_inst|encode_inst0|Add23~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add23~2 , hdmi_ctrl_inst|encode_inst0|Add23~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add23~4 , hdmi_ctrl_inst|encode_inst0|Add23~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add23~6 , hdmi_ctrl_inst|encode_inst0|Add23~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~0 , hdmi_ctrl_inst|encode_inst0|Add15~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~2 , hdmi_ctrl_inst|encode_inst0|Add15~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~4 , hdmi_ctrl_inst|encode_inst0|Add15~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~6 , hdmi_ctrl_inst|encode_inst0|Add15~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~8 , hdmi_ctrl_inst|encode_inst0|Add15~8, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add19~2 , hdmi_ctrl_inst|encode_inst0|Add19~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add19~4 , hdmi_ctrl_inst|encode_inst0|Add19~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add22~2 , hdmi_ctrl_inst|encode_inst0|Add22~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add22~4 , hdmi_ctrl_inst|encode_inst0|Add22~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 , hdmi_ctrl_inst|encode_inst0|cnt[2]~11, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 , hdmi_ctrl_inst|encode_inst0|cnt[3]~13, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[5] , hdmi_ctrl_inst|encode_inst0|data_out[5], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[4] , hdmi_ctrl_inst|encode_inst0|data_out[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add20~0 , hdmi_ctrl_inst|encode_inst1|Add20~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add20~2 , hdmi_ctrl_inst|encode_inst1|Add20~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add20~4 , hdmi_ctrl_inst|encode_inst1|Add20~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add20~6 , hdmi_ctrl_inst|encode_inst1|Add20~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~0 , hdmi_ctrl_inst|encode_inst1|Add17~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~2 , hdmi_ctrl_inst|encode_inst1|Add17~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~4 , hdmi_ctrl_inst|encode_inst1|Add17~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~6 , hdmi_ctrl_inst|encode_inst1|Add17~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~8 , hdmi_ctrl_inst|encode_inst1|Add17~8, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add23~0 , hdmi_ctrl_inst|encode_inst1|Add23~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add23~2 , hdmi_ctrl_inst|encode_inst1|Add23~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add23~4 , hdmi_ctrl_inst|encode_inst1|Add23~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add23~6 , hdmi_ctrl_inst|encode_inst1|Add23~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~0 , hdmi_ctrl_inst|encode_inst1|Add15~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~2 , hdmi_ctrl_inst|encode_inst1|Add15~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~4 , hdmi_ctrl_inst|encode_inst1|Add15~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~6 , hdmi_ctrl_inst|encode_inst1|Add15~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~8 , hdmi_ctrl_inst|encode_inst1|Add15~8, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add19~0 , hdmi_ctrl_inst|encode_inst1|Add19~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add22~0 , hdmi_ctrl_inst|encode_inst1|Add22~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add22~2 , hdmi_ctrl_inst|encode_inst1|Add22~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[5] , hdmi_ctrl_inst|encode_inst1|data_out[5], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[4] , hdmi_ctrl_inst|encode_inst1|data_out[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add20~0 , hdmi_ctrl_inst|encode_inst2|Add20~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~0 , hdmi_ctrl_inst|encode_inst2|Add17~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~2 , hdmi_ctrl_inst|encode_inst2|Add17~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~4 , hdmi_ctrl_inst|encode_inst2|Add17~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add23~0 , hdmi_ctrl_inst|encode_inst2|Add23~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~0 , hdmi_ctrl_inst|encode_inst2|Add15~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~2 , hdmi_ctrl_inst|encode_inst2|Add15~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add19~2 , hdmi_ctrl_inst|encode_inst2|Add19~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add19~4 , hdmi_ctrl_inst|encode_inst2|Add19~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add22~2 , hdmi_ctrl_inst|encode_inst2|Add22~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add22~4 , hdmi_ctrl_inst|encode_inst2|Add22~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[5] , hdmi_ctrl_inst|encode_inst2|data_out[5], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[4] , hdmi_ctrl_inst|encode_inst2|data_out[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[6] , hdmi_ctrl_inst|encode_inst0|data_out[6], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[7] , hdmi_ctrl_inst|encode_inst1|data_out[7], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[6] , hdmi_ctrl_inst|encode_inst2|data_out[6], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~6 , vga_ctrl_inst|Add0~6, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~4 , vga_ctrl_inst|Add1~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] , hdmi_ctrl_inst|par_to_ser_inst0|cnt[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|condition_3~0 , hdmi_ctrl_inst|encode_inst0|condition_3~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[2] , hdmi_ctrl_inst|encode_inst0|data_out[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Equal2~0 , hdmi_ctrl_inst|encode_inst1|Equal2~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|condition_3~1 , hdmi_ctrl_inst|encode_inst2|condition_3~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] , hdmi_ctrl_inst|encode_inst2|q_m_reg[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~1 , hdmi_ctrl_inst|encode_inst2|data_out~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[2] , hdmi_ctrl_inst|encode_inst2|data_out[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 , hdmi_ctrl_inst|par_to_ser_inst0|cnt~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~0 , hdmi_ctrl_inst|encode_inst0|Add16~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~1 , hdmi_ctrl_inst|encode_inst0|Add16~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~3 , hdmi_ctrl_inst|encode_inst0|Add16~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~4 , hdmi_ctrl_inst|encode_inst0|Add16~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~5 , hdmi_ctrl_inst|encode_inst0|Add16~5, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~9 , hdmi_ctrl_inst|encode_inst0|Add16~9, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~10 , hdmi_ctrl_inst|encode_inst0|Add16~10, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~11 , hdmi_ctrl_inst|encode_inst0|Add16~11, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~12 , hdmi_ctrl_inst|encode_inst0|Add16~12, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~15 , hdmi_ctrl_inst|encode_inst0|Add16~15, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Equal1~1 , hdmi_ctrl_inst|encode_inst0|Equal1~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~3 , hdmi_ctrl_inst|encode_inst0|data_out~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~0 , hdmi_ctrl_inst|encode_inst1|Add16~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~1 , hdmi_ctrl_inst|encode_inst1|Add16~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~3 , hdmi_ctrl_inst|encode_inst1|Add16~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~4 , hdmi_ctrl_inst|encode_inst1|Add16~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~7 , hdmi_ctrl_inst|encode_inst1|Add16~7, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~9 , hdmi_ctrl_inst|encode_inst1|Add16~9, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~10 , hdmi_ctrl_inst|encode_inst1|Add16~10, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~11 , hdmi_ctrl_inst|encode_inst1|Add16~11, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~12 , hdmi_ctrl_inst|encode_inst1|Add16~12, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~15 , hdmi_ctrl_inst|encode_inst1|Add16~15, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Equal2~1 , hdmi_ctrl_inst|encode_inst1|Equal2~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] , hdmi_ctrl_inst|encode_inst1|q_m_reg[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~2 , hdmi_ctrl_inst|encode_inst1|data_out~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~5 , hdmi_ctrl_inst|encode_inst2|Add16~5, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~6 , hdmi_ctrl_inst|encode_inst2|Add16~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~9 , hdmi_ctrl_inst|encode_inst2|Add16~9, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~10 , hdmi_ctrl_inst|encode_inst2|Add16~10, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~11 , hdmi_ctrl_inst|encode_inst2|Add16~11, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~12 , hdmi_ctrl_inst|encode_inst2|Add16~12, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~15 , hdmi_ctrl_inst|encode_inst2|Add16~15, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Equal2~1 , hdmi_ctrl_inst|encode_inst2|Equal2~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] , hdmi_ctrl_inst|encode_inst2|q_m_reg[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~2 , hdmi_ctrl_inst|encode_inst2|data_out~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~3 , hdmi_ctrl_inst|encode_inst2|data_out~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[2] , vga_ctrl_inst|cnt_v[2], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|LessThan0~0 , vga_ctrl_inst|LessThan0~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] , hdmi_ctrl_inst|encode_inst0|q_m_reg[5], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~4 , hdmi_ctrl_inst|encode_inst0|data_out~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] , hdmi_ctrl_inst|encode_inst0|q_m_reg[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~5 , hdmi_ctrl_inst|encode_inst0|data_out~5, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] , hdmi_ctrl_inst|encode_inst1|q_m_reg[5], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~3 , hdmi_ctrl_inst|encode_inst1|data_out~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] , hdmi_ctrl_inst|encode_inst1|q_m_reg[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~4 , hdmi_ctrl_inst|encode_inst1|data_out~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 , hdmi_ctrl_inst|encode_inst2|q_m[3]~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] , hdmi_ctrl_inst|encode_inst2|q_m_reg[5], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~4 , hdmi_ctrl_inst|encode_inst2|data_out~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] , hdmi_ctrl_inst|encode_inst2|q_m_reg[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~5 , hdmi_ctrl_inst|encode_inst2|data_out~5, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[3] , vga_ctrl_inst|cnt_h[3], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|always1~2 , vga_ctrl_inst|always1~2, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[2]~4 , vga_ctrl_inst|cnt_v[2]~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 , hdmi_ctrl_inst|encode_inst0|q_m[7]~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[9] , hdmi_ctrl_inst|encode_inst0|data_out[9], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 , hdmi_ctrl_inst|encode_inst0|q_m[4]~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 , hdmi_ctrl_inst|encode_inst0|data_out[6]~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[8] , hdmi_ctrl_inst|encode_inst0|data_out[8], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~22 , vga_pic_inst|pix_data~22, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|LessThan14~1 , vga_pic_inst|LessThan14~1, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[13]~24 , vga_pic_inst|pix_data[13]~24, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~29 , vga_pic_inst|pix_data~29, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 , hdmi_ctrl_inst|encode_inst1|q_m[5]~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] , hdmi_ctrl_inst|encode_inst1|q_m_reg[7], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~5 , hdmi_ctrl_inst|encode_inst1|data_out~5, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[9] , hdmi_ctrl_inst|encode_inst1|data_out[9], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[8] , hdmi_ctrl_inst|encode_inst1|data_out[8], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 , hdmi_ctrl_inst|encode_inst2|q_m[7]~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 , hdmi_ctrl_inst|encode_inst2|q_m[4]~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 , hdmi_ctrl_inst|encode_inst2|data_out[4]~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~7 , hdmi_ctrl_inst|encode_inst0|data_out~7, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~8 , hdmi_ctrl_inst|encode_inst0|data_out~8, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 , hdmi_ctrl_inst|encode_inst1|q_m[7]~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~6 , hdmi_ctrl_inst|encode_inst1|data_out~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~7 , hdmi_ctrl_inst|encode_inst1|data_out~7, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|LessThan17~4 , vga_pic_inst|LessThan17~4, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~35 , vga_pic_inst|pix_data~35, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell , hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell, hdmi_colorbar, 1
+instance = comp, \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl , clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder , hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder , hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder , hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder , hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder , hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder, hdmi_colorbar, 1
+instance = comp, \ddc_scl~output , ddc_scl~output, hdmi_colorbar, 1
+instance = comp, \ddc_sda~output , ddc_sda~output, hdmi_colorbar, 1
+instance = comp, \tmds_clk_p~output , tmds_clk_p~output, hdmi_colorbar, 1
+instance = comp, \tmds_clk_n~output , tmds_clk_n~output, hdmi_colorbar, 1
+instance = comp, \tmds_data_p[0]~output , tmds_data_p[0]~output, hdmi_colorbar, 1
+instance = comp, \tmds_data_p[1]~output , tmds_data_p[1]~output, hdmi_colorbar, 1
+instance = comp, \tmds_data_p[2]~output , tmds_data_p[2]~output, hdmi_colorbar, 1
+instance = comp, \tmds_data_n[0]~output , tmds_data_n[0]~output, hdmi_colorbar, 1
+instance = comp, \tmds_data_n[1]~output , tmds_data_n[1]~output, hdmi_colorbar, 1
+instance = comp, \tmds_data_n[2]~output , tmds_data_n[2]~output, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 , hdmi_ctrl_inst|par_to_ser_inst0|Add0~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] , hdmi_ctrl_inst|par_to_ser_inst0|cnt[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 , hdmi_ctrl_inst|par_to_ser_inst0|Add0~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] , hdmi_ctrl_inst|par_to_ser_inst0|cnt[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0], hdmi_colorbar, 1
+instance = comp, \sys_clk~input , sys_clk~input, hdmi_colorbar, 1
+instance = comp, \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl , clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~0 , vga_ctrl_inst|Add1~0, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~2 , vga_ctrl_inst|Add1~2, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~6 , vga_ctrl_inst|Add1~6, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[3]~3 , vga_ctrl_inst|cnt_v[3]~3, hdmi_colorbar, 1
+instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder , clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder, hdmi_colorbar, 1
+instance = comp, \sys_rst_n~input , sys_rst_n~input, hdmi_colorbar, 1
+instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync , clk_gen_inst|altpll_component|auto_generated|pll_lock_sync, hdmi_colorbar, 1
+instance = comp, \rst_n~0 , rst_n~0, hdmi_colorbar, 1
+instance = comp, \rst_n~0clkctrl , rst_n~0clkctrl, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[3] , vga_ctrl_inst|cnt_v[3], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~8 , vga_ctrl_inst|Add1~8, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~0 , vga_ctrl_inst|Add0~0, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[0] , vga_ctrl_inst|cnt_h[0], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~2 , vga_ctrl_inst|Add0~2, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[1] , vga_ctrl_inst|cnt_h[1], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~4 , vga_ctrl_inst|Add0~4, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[2] , vga_ctrl_inst|cnt_h[2], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~8 , vga_ctrl_inst|Add0~8, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[4] , vga_ctrl_inst|cnt_h[4], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~10 , vga_ctrl_inst|Add0~10, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~12 , vga_ctrl_inst|Add0~12, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[6] , vga_ctrl_inst|cnt_h[6], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~14 , vga_ctrl_inst|Add0~14, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[7] , vga_ctrl_inst|cnt_h[7], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~16 , vga_ctrl_inst|Add0~16, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Equal0~0 , vga_ctrl_inst|Equal0~0, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h~2 , vga_ctrl_inst|cnt_h~2, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[8] , vga_ctrl_inst|cnt_h[8], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Equal0~2 , vga_ctrl_inst|Equal0~2, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~18 , vga_ctrl_inst|Add0~18, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h~1 , vga_ctrl_inst|cnt_h~1, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[9] , vga_ctrl_inst|cnt_h[9], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~20 , vga_ctrl_inst|Add0~20, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[10] , vga_ctrl_inst|cnt_h[10], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~22 , vga_ctrl_inst|Add0~22, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[11] , vga_ctrl_inst|cnt_h[11], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Equal0~1 , vga_ctrl_inst|Equal0~1, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Equal0~3 , vga_ctrl_inst|Equal0~3, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[4]~5 , vga_ctrl_inst|cnt_v[4]~5, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[4] , vga_ctrl_inst|cnt_v[4], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~10 , vga_ctrl_inst|Add1~10, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[5]~10 , vga_ctrl_inst|cnt_v[5]~10, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[5] , vga_ctrl_inst|cnt_v[5], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~12 , vga_ctrl_inst|Add1~12, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[6]~8 , vga_ctrl_inst|cnt_v[6]~8, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[6] , vga_ctrl_inst|cnt_v[6], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~14 , vga_ctrl_inst|Add1~14, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[7]~7 , vga_ctrl_inst|cnt_v[7]~7, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[7] , vga_ctrl_inst|cnt_v[7], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~16 , vga_ctrl_inst|Add1~16, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[8]~6 , vga_ctrl_inst|cnt_v[8]~6, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[8] , vga_ctrl_inst|cnt_v[8], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~18 , vga_ctrl_inst|Add1~18, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[9]~9 , vga_ctrl_inst|cnt_v[9]~9, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[9] , vga_ctrl_inst|cnt_v[9], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~20 , vga_ctrl_inst|Add1~20, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[10]~12 , vga_ctrl_inst|cnt_v[10]~12, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[10] , vga_ctrl_inst|cnt_v[10], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~22 , vga_ctrl_inst|Add1~22, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[11]~11 , vga_ctrl_inst|cnt_v[11]~11, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[11] , vga_ctrl_inst|cnt_v[11], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~8 , vga_ctrl_inst|pix_data_req~8, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|always1~0 , vga_ctrl_inst|always1~0, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|always1~1 , vga_ctrl_inst|always1~1, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[11]~0 , vga_ctrl_inst|cnt_v[11]~0, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[1]~1 , vga_ctrl_inst|cnt_v[1]~1, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[1] , vga_ctrl_inst|cnt_v[1], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[0]~2 , vga_ctrl_inst|cnt_v[0]~2, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[0] , vga_ctrl_inst|cnt_v[0], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|LessThan6~0 , vga_ctrl_inst|LessThan6~0, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~0 , vga_ctrl_inst|pix_data_req~0, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~1 , vga_ctrl_inst|pix_data_req~1, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~2 , vga_ctrl_inst|pix_data_req~2, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~3 , vga_ctrl_inst|pix_data_req~3, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h~0 , vga_ctrl_inst|cnt_h~0, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[5] , vga_ctrl_inst|cnt_h[5], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~1 , vga_ctrl_inst|Add2~1, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~3 , vga_ctrl_inst|Add2~3, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~5 , vga_ctrl_inst|Add2~5, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~7 , vga_ctrl_inst|Add2~7, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~9 , vga_ctrl_inst|Add2~9, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~10 , vga_ctrl_inst|Add2~10, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~12 , vga_ctrl_inst|Add2~12, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~14 , vga_ctrl_inst|Add2~14, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|always0~1 , vga_pic_inst|always0~1, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|LessThan17~2 , vga_pic_inst|LessThan17~2, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~16 , vga_ctrl_inst|Add2~16, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|always0~2 , vga_pic_inst|always0~2, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[13]~8 , vga_pic_inst|pix_data[13]~8, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~18 , vga_ctrl_inst|Add2~18, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[13]~9 , vga_pic_inst|pix_data[13]~9, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~20 , vga_ctrl_inst|Add2~20, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_x[11]~0 , vga_ctrl_inst|pix_x[11]~0, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~16 , vga_pic_inst|pix_data~16, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~17 , vga_pic_inst|pix_data~17, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~34 , vga_pic_inst|pix_data~34, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~5 , vga_ctrl_inst|pix_data_req~5, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~6 , vga_ctrl_inst|pix_data_req~6, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~7 , vga_ctrl_inst|pix_data_req~7, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~12 , vga_pic_inst|pix_data~12, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[13]~11 , vga_pic_inst|pix_data[13]~11, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|always0~0 , vga_pic_inst|always0~0, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|LessThan14~0 , vga_pic_inst|LessThan14~0, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~13 , vga_pic_inst|pix_data~13, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~18 , vga_pic_inst|pix_data~18, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[4] , vga_pic_inst|pix_data[4], hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~19 , vga_pic_inst|pix_data~19, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~20 , vga_pic_inst|pix_data~20, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[0] , vga_pic_inst|pix_data[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add6~0 , hdmi_ctrl_inst|encode_inst0|Add6~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] , hdmi_ctrl_inst|encode_inst0|data_in_n1[2], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|LessThan4~0 , vga_ctrl_inst|LessThan4~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add4~0 , hdmi_ctrl_inst|encode_inst0|Add4~0, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~4 , vga_ctrl_inst|pix_data_req~4, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[2]~1 , vga_ctrl_inst|rgb[2]~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] , hdmi_ctrl_inst|encode_inst0|data_in_reg[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add12~0 , hdmi_ctrl_inst|encode_inst0|Add12~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] , hdmi_ctrl_inst|encode_inst0|q_m_n1[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add12~1 , hdmi_ctrl_inst|encode_inst0|Add12~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] , hdmi_ctrl_inst|encode_inst0|q_m_n1[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add14~0 , hdmi_ctrl_inst|encode_inst0|Add14~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] , hdmi_ctrl_inst|encode_inst0|q_m_n0[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|condition_3~1 , hdmi_ctrl_inst|encode_inst0|condition_3~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 , hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] , hdmi_ctrl_inst|encode_inst0|q_m_n0[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add19~0 , hdmi_ctrl_inst|encode_inst0|Add19~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add22~0 , hdmi_ctrl_inst|encode_inst0|Add22~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 , hdmi_ctrl_inst|encode_inst0|data_out[0]~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add14~1 , hdmi_ctrl_inst|encode_inst0|Add14~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] , hdmi_ctrl_inst|encode_inst0|q_m_n0[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~13 , hdmi_ctrl_inst|encode_inst0|Add16~13, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~14 , hdmi_ctrl_inst|encode_inst0|Add16~14, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[1]~0 , vga_ctrl_inst|rgb[1]~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] , hdmi_ctrl_inst|encode_inst0|data_in_reg[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] , hdmi_ctrl_inst|encode_inst0|q_m_n1[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Equal2~0 , hdmi_ctrl_inst|encode_inst0|Equal2~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Equal2~1 , hdmi_ctrl_inst|encode_inst0|Equal2~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 , hdmi_ctrl_inst|encode_inst0|cnt[0]~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 , hdmi_ctrl_inst|encode_inst0|cnt[0]~7, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add4~1 , hdmi_ctrl_inst|encode_inst0|Add4~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|de_reg1 , hdmi_ctrl_inst|encode_inst2|de_reg1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder , hdmi_ctrl_inst|encode_inst2|de_reg2~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|de_reg2 , hdmi_ctrl_inst|encode_inst2|de_reg2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[0] , hdmi_ctrl_inst|encode_inst0|cnt[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~16 , hdmi_ctrl_inst|encode_inst0|Add16~16, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 , hdmi_ctrl_inst|encode_inst0|cnt[1]~9, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[1] , hdmi_ctrl_inst|encode_inst0|cnt[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Equal1~0 , hdmi_ctrl_inst|encode_inst0|Equal1~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|condition_2 , hdmi_ctrl_inst|encode_inst0|condition_2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add22~6 , hdmi_ctrl_inst|encode_inst0|Add22~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add19~6 , hdmi_ctrl_inst|encode_inst0|Add19~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~2 , hdmi_ctrl_inst|encode_inst0|Add16~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 , hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] , hdmi_ctrl_inst|encode_inst0|q_m_reg[8], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~6 , hdmi_ctrl_inst|encode_inst0|Add16~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~7 , hdmi_ctrl_inst|encode_inst0|Add16~7, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~8 , hdmi_ctrl_inst|encode_inst0|Add16~8, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 , hdmi_ctrl_inst|encode_inst0|cnt[4]~15, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[4] , hdmi_ctrl_inst|encode_inst0|cnt[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|condition_3~2 , hdmi_ctrl_inst|encode_inst0|condition_3~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] , hdmi_ctrl_inst|encode_inst0|q_m_reg[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~1 , hdmi_ctrl_inst|encode_inst0|data_out~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder , hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder, hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|LessThan0~1 , vga_ctrl_inst|LessThan0~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|c0_reg1 , hdmi_ctrl_inst|encode_inst2|c0_reg1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|c0_reg2 , hdmi_ctrl_inst|encode_inst2|c0_reg2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[1] , hdmi_ctrl_inst|encode_inst0|data_out[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 , hdmi_ctrl_inst|encode_inst0|q_m[3]~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] , hdmi_ctrl_inst|encode_inst0|q_m_reg[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~2 , hdmi_ctrl_inst|encode_inst0|data_out~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder , hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[3] , hdmi_ctrl_inst|encode_inst0|data_out[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[0] , hdmi_ctrl_inst|encode_inst0|data_out[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~30 , vga_pic_inst|pix_data~30, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|LessThan17~3 , vga_pic_inst|LessThan17~3, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~31 , vga_pic_inst|pix_data~31, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[8] , vga_pic_inst|pix_data[8], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[6]~4 , vga_ctrl_inst|rgb[6]~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] , hdmi_ctrl_inst|encode_inst1|data_in_reg[2], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_x[10]~1 , vga_ctrl_inst|pix_x[10]~1, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~23 , vga_pic_inst|pix_data~23, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|LessThan10~0 , vga_pic_inst|LessThan10~0, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~25 , vga_pic_inst|pix_data~25, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[9]~14 , vga_pic_inst|pix_data[9]~14, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[9]~15 , vga_pic_inst|pix_data[9]~15, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~36 , vga_pic_inst|pix_data~36, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~21 , vga_pic_inst|pix_data~21, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~28 , vga_pic_inst|pix_data~28, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[9] , vga_pic_inst|pix_data[9], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[7]~3 , vga_ctrl_inst|rgb[7]~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] , hdmi_ctrl_inst|encode_inst1|data_in_reg[4], hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~26 , vga_pic_inst|pix_data~26, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~27 , vga_pic_inst|pix_data~27, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[10] , vga_pic_inst|pix_data[10], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[10]~2 , vga_ctrl_inst|rgb[10]~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] , hdmi_ctrl_inst|encode_inst1|data_in_reg[7], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add13~0 , hdmi_ctrl_inst|encode_inst1|Add13~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] , hdmi_ctrl_inst|encode_inst1|q_m_n1[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add14~0 , hdmi_ctrl_inst|encode_inst1|Add14~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] , hdmi_ctrl_inst|encode_inst1|q_m_n0[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add14~1 , hdmi_ctrl_inst|encode_inst1|Add14~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] , hdmi_ctrl_inst|encode_inst1|q_m_n0[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|condition_3~0 , hdmi_ctrl_inst|encode_inst1|condition_3~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|condition_3~1 , hdmi_ctrl_inst|encode_inst1|condition_3~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add14~2 , hdmi_ctrl_inst|encode_inst1|Add14~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] , hdmi_ctrl_inst|encode_inst1|q_m_n0[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|condition_3~2 , hdmi_ctrl_inst|encode_inst1|condition_3~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add5~0 , hdmi_ctrl_inst|encode_inst1|Add5~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] , hdmi_ctrl_inst|encode_inst1|data_in_n1[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] , hdmi_ctrl_inst|encode_inst1|q_m_reg[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 , hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] , hdmi_ctrl_inst|encode_inst1|q_m_reg[8], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 , hdmi_ctrl_inst|encode_inst1|data_out[0]~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] , hdmi_ctrl_inst|encode_inst1|q_m_n1[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~16 , hdmi_ctrl_inst|encode_inst1|Add16~16, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add19~2 , hdmi_ctrl_inst|encode_inst1|Add19~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add19~4 , hdmi_ctrl_inst|encode_inst1|Add19~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add19~6 , hdmi_ctrl_inst|encode_inst1|Add19~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add22~4 , hdmi_ctrl_inst|encode_inst1|Add22~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add22~6 , hdmi_ctrl_inst|encode_inst1|Add22~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~2 , hdmi_ctrl_inst|encode_inst1|Add16~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add13~1 , hdmi_ctrl_inst|encode_inst1|Add13~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] , hdmi_ctrl_inst|encode_inst1|q_m_n1[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~8 , hdmi_ctrl_inst|encode_inst1|Add16~8, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 , hdmi_ctrl_inst|encode_inst1|cnt[0]~7, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 , hdmi_ctrl_inst|encode_inst1|cnt[1]~9, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 , hdmi_ctrl_inst|encode_inst1|cnt[2]~11, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 , hdmi_ctrl_inst|encode_inst1|cnt[3]~13, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 , hdmi_ctrl_inst|encode_inst1|cnt[4]~15, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[4] , hdmi_ctrl_inst|encode_inst1|cnt[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[2] , hdmi_ctrl_inst|encode_inst1|cnt[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Equal1~0 , hdmi_ctrl_inst|encode_inst1|Equal1~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Equal1~1 , hdmi_ctrl_inst|encode_inst1|Equal1~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 , hdmi_ctrl_inst|encode_inst1|cnt[0]~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[0] , hdmi_ctrl_inst|encode_inst1|cnt[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~13 , hdmi_ctrl_inst|encode_inst1|Add16~13, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~14 , hdmi_ctrl_inst|encode_inst1|Add16~14, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[1] , hdmi_ctrl_inst|encode_inst1|cnt[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~5 , hdmi_ctrl_inst|encode_inst1|Add16~5, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~6 , hdmi_ctrl_inst|encode_inst1|Add16~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[3] , hdmi_ctrl_inst|encode_inst1|cnt[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|condition_2 , hdmi_ctrl_inst|encode_inst1|condition_2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~1 , hdmi_ctrl_inst|encode_inst1|data_out~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[3] , hdmi_ctrl_inst|encode_inst1|data_out[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[0] , hdmi_ctrl_inst|encode_inst1|data_out[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder , hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[1] , hdmi_ctrl_inst|encode_inst2|data_out[1], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|LessThan1~0 , vga_ctrl_inst|LessThan1~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|c1_reg1 , hdmi_ctrl_inst|encode_inst2|c1_reg1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder , hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|c1_reg2 , hdmi_ctrl_inst|encode_inst2|c1_reg2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~7 , hdmi_ctrl_inst|encode_inst2|data_out~7, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[9] , hdmi_ctrl_inst|encode_inst2|data_out[9], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0], hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~37 , vga_pic_inst|pix_data~37, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[13]~10 , vga_pic_inst|pix_data[13]~10, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~33 , vga_pic_inst|pix_data~33, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[13] , vga_pic_inst|pix_data[13], hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~32 , vga_pic_inst|pix_data~32, hdmi_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[15] , vga_pic_inst|pix_data[15], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add6~0 , hdmi_ctrl_inst|encode_inst2|Add6~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] , hdmi_ctrl_inst|encode_inst2|data_in_n1[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 , hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] , hdmi_ctrl_inst|encode_inst2|q_m_reg[8], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[13]~6 , vga_ctrl_inst|rgb[13]~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] , hdmi_ctrl_inst|encode_inst2|data_in_reg[3], hdmi_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[12]~5 , vga_ctrl_inst|rgb[12]~5, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] , hdmi_ctrl_inst|encode_inst2|data_in_reg[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add14~0 , hdmi_ctrl_inst|encode_inst2|Add14~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] , hdmi_ctrl_inst|encode_inst2|q_m_n0[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add12~1 , hdmi_ctrl_inst|encode_inst2|Add12~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] , hdmi_ctrl_inst|encode_inst2|q_m_n1[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add12~0 , hdmi_ctrl_inst|encode_inst2|Add12~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] , hdmi_ctrl_inst|encode_inst2|q_m_n1[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|condition_3~0 , hdmi_ctrl_inst|encode_inst2|condition_3~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 , hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] , hdmi_ctrl_inst|encode_inst2|q_m_n0[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Equal2~0 , hdmi_ctrl_inst|encode_inst2|Equal2~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|condition_2 , hdmi_ctrl_inst|encode_inst2|condition_2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] , hdmi_ctrl_inst|encode_inst2|q_m_n1[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~6 , hdmi_ctrl_inst|encode_inst2|Add17~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add14~1 , hdmi_ctrl_inst|encode_inst2|Add14~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] , hdmi_ctrl_inst|encode_inst2|q_m_n0[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add23~2 , hdmi_ctrl_inst|encode_inst2|Add23~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add23~4 , hdmi_ctrl_inst|encode_inst2|Add23~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~3 , hdmi_ctrl_inst|encode_inst2|Add16~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~16 , hdmi_ctrl_inst|encode_inst2|Add16~16, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add22~0 , hdmi_ctrl_inst|encode_inst2|Add22~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~13 , hdmi_ctrl_inst|encode_inst2|Add16~13, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add19~0 , hdmi_ctrl_inst|encode_inst2|Add19~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~14 , hdmi_ctrl_inst|encode_inst2|Add16~14, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 , hdmi_ctrl_inst|encode_inst2|cnt[0]~7, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 , hdmi_ctrl_inst|encode_inst2|cnt[1]~9, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[1] , hdmi_ctrl_inst|encode_inst2|cnt[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Equal1~0 , hdmi_ctrl_inst|encode_inst2|Equal1~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Equal1~1 , hdmi_ctrl_inst|encode_inst2|Equal1~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 , hdmi_ctrl_inst|encode_inst2|cnt[0]~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[0] , hdmi_ctrl_inst|encode_inst2|cnt[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~4 , hdmi_ctrl_inst|encode_inst2|Add15~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add20~2 , hdmi_ctrl_inst|encode_inst2|Add20~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~7 , hdmi_ctrl_inst|encode_inst2|Add16~7, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~8 , hdmi_ctrl_inst|encode_inst2|Add16~8, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 , hdmi_ctrl_inst|encode_inst2|cnt[2]~11, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[2] , hdmi_ctrl_inst|encode_inst2|cnt[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~6 , hdmi_ctrl_inst|encode_inst2|Add15~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add20~4 , hdmi_ctrl_inst|encode_inst2|Add20~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~4 , hdmi_ctrl_inst|encode_inst2|Add16~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 , hdmi_ctrl_inst|encode_inst2|cnt[3]~13, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[3] , hdmi_ctrl_inst|encode_inst2|cnt[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add22~6 , hdmi_ctrl_inst|encode_inst2|Add22~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add19~6 , hdmi_ctrl_inst|encode_inst2|Add19~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~2 , hdmi_ctrl_inst|encode_inst2|Add16~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~8 , hdmi_ctrl_inst|encode_inst2|Add15~8, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add20~6 , hdmi_ctrl_inst|encode_inst2|Add20~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~8 , hdmi_ctrl_inst|encode_inst2|Add17~8, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add23~6 , hdmi_ctrl_inst|encode_inst2|Add23~6, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~0 , hdmi_ctrl_inst|encode_inst2|Add16~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~1 , hdmi_ctrl_inst|encode_inst2|Add16~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 , hdmi_ctrl_inst|encode_inst2|cnt[4]~15, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[4] , hdmi_ctrl_inst|encode_inst2|cnt[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|condition_3~2 , hdmi_ctrl_inst|encode_inst2|condition_3~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 , hdmi_ctrl_inst|encode_inst2|data_out[0]~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[0] , hdmi_ctrl_inst|encode_inst2|data_out[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~8 , hdmi_ctrl_inst|encode_inst2|data_out~8, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[8] , hdmi_ctrl_inst|encode_inst2|data_out[8], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0, hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
+instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1
diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo
index e7677ed..08f74b3 100644
--- a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo
+++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo
@@ -1,9062 +1,9062 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This file contains Slow Corner delays for the design using part EP4CE15F23C8,
-// with speed grade 8, core voltage 1.2V, and temperature 85 Celsius
-//
-
-//
-// This SDF file should be used for ModelSim (Verilog) only
-//
-
-(DELAYFILE
- (SDFVERSION "2.1")
- (DESIGN "hdmi_colorbar")
- (DATE "06/02/2023 04:17:19")
- (VENDOR "Altera")
- (PROGRAM "Quartus II 64-Bit")
- (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version")
- (DIVIDER .)
- (TIMESCALE 1 ps)
-
- (CELL
- (CELLTYPE "cycloneive_pll")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
- (DELAY
- (ABSOLUTE
- (PORT areset (4503:4503:4503) (4503:4503:4503))
- (PORT inclk[0] (2340:2340:2340) (2340:2340:2340))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1720:1720:1720) (1682:1682:1682))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (PORT sload (1425:1425:1425) (1434:1434:1434))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sclr (1477:1477:1477) (1540:1540:1540))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sclr (1477:1477:1477) (1540:1540:1540))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sclr (1477:1477:1477) (1540:1540:1540))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1695:1695:1695) (1667:1667:1667))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (PORT sload (1861:1861:1861) (1950:1950:1950))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1638:1638:1638) (1522:1522:1522))
- (PORT clrn (1891:1891:1891) (1861:1861:1861))
- (PORT sload (1745:1745:1745) (1782:1782:1782))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1909:1909:1909) (1832:1832:1832))
- (PORT clrn (1891:1891:1891) (1861:1861:1861))
- (PORT sload (1745:1745:1745) (1782:1782:1782))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1152:1152:1152) (1087:1087:1087))
- (PORT datab (658:658:658) (680:680:680))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (596:596:596) (653:653:653))
- (PORT datab (834:834:834) (829:829:829))
- (IOPATH dataa combout (453:453:453) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (473:473:473) (489:489:489))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (575:575:575) (619:619:619))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (593:593:593) (632:632:632))
- (PORT datab (364:364:364) (446:446:446))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (894:894:894) (862:862:862))
- (PORT datab (648:648:648) (666:666:666))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (912:912:912) (872:872:872))
- (PORT datab (615:615:615) (647:647:647))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (676:676:676) (689:689:689))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (667:667:667) (676:676:676))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1151:1151:1151) (1087:1087:1087))
- (PORT datab (660:660:660) (683:683:683))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (595:595:595) (651:651:651))
- (PORT datab (835:835:835) (829:829:829))
- (IOPATH dataa combout (461:461:461) (486:486:486))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (455:455:455) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (573:573:573) (617:617:617))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (596:596:596) (635:635:635))
- (PORT datab (368:368:368) (450:450:450))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (925:925:925) (879:879:879))
- (PORT datab (650:650:650) (669:669:669))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (835:835:835) (820:820:820))
- (PORT datab (617:617:617) (649:649:649))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (952:952:952) (905:905:905))
- (PORT datab (678:678:678) (691:691:691))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (669:669:669) (678:678:678))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (387:387:387) (471:471:471))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (648:648:648) (655:655:655))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (313:313:313))
- (PORT datab (278:278:278) (303:303:303))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (833:833:833) (739:739:739))
- (PORT datab (275:275:275) (299:299:299))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (486:486:486) (477:477:477))
- (PORT datab (275:275:275) (299:299:299))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1593:1593:1593) (1472:1472:1472))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (PORT sload (1861:1861:1861) (1950:1950:1950))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1197:1197:1197) (1138:1138:1138))
- (PORT datab (1156:1156:1156) (1099:1099:1099))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1117:1117:1117) (1073:1073:1073))
- (PORT datab (1847:1847:1847) (1760:1760:1760))
- (IOPATH dataa combout (453:453:453) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (473:473:473) (489:489:489))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (954:954:954) (924:924:924))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (895:895:895) (878:878:878))
- (PORT datab (564:564:564) (590:590:590))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (901:901:901) (889:889:889))
- (PORT datab (1145:1145:1145) (1082:1082:1082))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (923:923:923) (902:902:902))
- (PORT datab (1132:1132:1132) (1072:1072:1072))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (917:917:917) (895:895:895))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (876:876:876) (872:872:872))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1195:1195:1195) (1136:1136:1136))
- (PORT datab (1157:1157:1157) (1100:1100:1100))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (955:955:955) (925:925:925))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1827:1827:1827) (1698:1698:1698))
- (PORT datab (921:921:921) (895:895:895))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (918:918:918) (896:896:896))
- (PORT datab (901:901:901) (878:878:878))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (875:875:875) (871:871:871))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (881:881:881) (872:872:872))
- (PORT datab (644:644:644) (657:657:657))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (924:924:924) (906:906:906))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (870:870:870) (859:859:859))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (590:590:590) (616:616:616))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (882:882:882) (873:873:873))
- (PORT datab (643:643:643) (656:656:656))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (922:922:922) (904:904:904))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (869:869:869) (859:859:859))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1639:1639:1639) (1523:1523:1523))
- (PORT clrn (1891:1891:1891) (1861:1861:1861))
- (PORT sload (1745:1745:1745) (1782:1782:1782))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (903:903:903) (913:913:913))
- (PORT datab (912:912:912) (901:901:901))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (826:826:826) (816:816:816))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (864:864:864) (829:829:829))
- (PORT datab (358:358:358) (434:434:434))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (572:572:572) (609:609:609))
- (PORT datab (835:835:835) (807:807:807))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (361:361:361) (445:445:445))
- (PORT datab (823:823:823) (799:799:799))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (844:844:844) (829:829:829))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (902:902:902) (912:912:912))
- (PORT datab (908:908:908) (897:897:897))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (823:823:823) (813:813:813))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (827:827:827) (822:822:822))
- (PORT datab (368:368:368) (449:449:449))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (371:371:371) (458:458:458))
- (PORT datab (870:870:870) (840:840:840))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (884:884:884) (866:866:866))
- (PORT datab (360:360:360) (437:437:437))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~8)
- (DELAY
- (ABSOLUTE
- (PORT datad (355:355:355) (432:432:432))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (581:581:581) (607:607:607))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (573:573:573) (617:617:617))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (594:594:594) (617:617:617))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (948:948:948) (905:905:905))
- (PORT datab (863:863:863) (851:851:851))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (583:583:583) (610:610:610))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (576:576:576) (619:619:619))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (596:596:596) (619:619:619))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1674:1674:1674) (1551:1551:1551))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (PORT sload (1763:1763:1763) (1796:1796:1796))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1578:1578:1578) (1474:1474:1474))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sload (1624:1624:1624) (1684:1684:1684))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1720:1720:1720) (1681:1681:1681))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (PORT sload (1425:1425:1425) (1434:1434:1434))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (370:370:370) (460:460:460))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (993:993:993) (970:970:970))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (627:627:627) (630:630:630))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (585:585:585) (622:622:622))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (629:629:629) (633:633:633))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (362:362:362) (446:446:446))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (625:625:625) (633:633:633))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (571:571:571) (609:609:609))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (624:624:624) (633:633:633))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~18)
- (DELAY
- (ABSOLUTE
- (PORT datab (593:593:593) (620:620:620))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~20)
- (DELAY
- (ABSOLUTE
- (PORT dataa (362:362:362) (445:445:445))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (296:296:296) (374:374:374))
- (PORT datad (407:407:407) (519:519:519))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (597:597:597) (654:654:654))
- (PORT datab (655:655:655) (676:676:676))
- (PORT datac (534:534:534) (564:564:564))
- (PORT datad (523:523:523) (548:548:548))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (858:858:858) (858:858:858))
- (PORT datab (649:649:649) (667:667:667))
- (PORT datac (574:574:574) (610:610:610))
- (PORT datad (553:553:553) (583:583:583))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (903:903:903) (912:912:912))
- (PORT datab (911:911:911) (901:901:901))
- (PORT datac (899:899:899) (887:887:887))
- (PORT datad (822:822:822) (805:805:805))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datac (304:304:304) (387:387:387))
- (PORT datad (407:407:407) (519:519:519))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (859:859:859) (770:770:770))
- (PORT datab (865:865:865) (826:826:826))
- (PORT datac (750:750:750) (670:670:670))
- (PORT datad (263:263:263) (281:281:281))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (795:795:795) (721:721:721))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (745:745:745) (662:662:662))
- (PORT datad (757:757:757) (701:701:701))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (PORT datab (842:842:842) (796:796:796))
- (PORT datac (535:535:535) (512:512:512))
- (PORT datad (454:454:454) (434:434:434))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (489:489:489) (480:480:480))
- (PORT datab (543:543:543) (503:503:503))
- (PORT datac (238:238:238) (264:264:264))
- (PORT datad (805:805:805) (758:758:758))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (877:877:877) (824:824:824))
- (PORT datab (866:866:866) (826:826:826))
- (PORT datac (237:237:237) (264:264:264))
- (PORT datad (470:470:470) (442:442:442))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (851:851:851) (762:762:762))
- (PORT datab (276:276:276) (300:300:300))
- (PORT datac (535:535:535) (511:511:511))
- (PORT datad (803:803:803) (756:756:756))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (760:760:760) (691:691:691))
- (PORT datab (530:530:530) (493:493:493))
- (PORT datac (432:432:432) (415:415:415))
- (PORT datad (516:516:516) (508:508:508))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (492:492:492) (484:484:484))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datac (535:535:535) (511:511:511))
- (PORT datad (803:803:803) (755:755:755))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (313:313:313))
- (PORT datab (755:755:755) (701:701:701))
- (PORT datac (238:238:238) (264:264:264))
- (PORT datad (800:800:800) (752:752:752))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (558:558:558) (561:561:561))
- (PORT datac (843:843:843) (804:804:804))
- (PORT datad (323:323:323) (393:393:393))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (627:627:627) (653:653:653))
- (PORT datad (246:246:246) (271:271:271))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (1351:1351:1351) (1290:1290:1290))
- (PORT datad (1269:1269:1269) (1219:1219:1219))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (804:804:804) (800:800:800))
- (PORT datab (955:955:955) (950:950:950))
- (PORT datac (833:833:833) (778:778:778))
- (PORT datad (823:823:823) (778:778:778))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datab combout (393:393:393) (412:412:412))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (338:338:338) (422:422:422))
- (PORT datab (338:338:338) (415:415:415))
- (PORT datac (1078:1078:1078) (1104:1104:1104))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (912:912:912) (859:859:859))
- (PORT datab (278:278:278) (303:303:303))
- (PORT datac (491:491:491) (465:465:465))
- (PORT datad (1139:1139:1139) (1035:1035:1035))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (747:747:747) (682:682:682))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (236:236:236) (263:263:263))
- (PORT datad (1138:1138:1138) (1034:1034:1034))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (407:407:407) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (307:307:307) (339:339:339))
- (PORT datab (740:740:740) (675:675:675))
- (PORT datac (1032:1032:1032) (927:927:927))
- (PORT datad (837:837:837) (830:830:830))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1075:1075:1075) (974:974:974))
- (PORT datab (476:476:476) (461:461:461))
- (PORT datac (235:235:235) (261:261:261))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1089:1089:1089) (992:992:992))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datac (1498:1498:1498) (1433:1433:1433))
- (PORT datad (535:535:535) (510:510:510))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (761:761:761) (694:694:694))
- (PORT datab (274:274:274) (299:299:299))
- (PORT datac (1046:1046:1046) (946:946:946))
- (PORT datad (855:855:855) (828:828:828))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (313:313:313))
- (PORT datab (544:544:544) (508:508:508))
- (PORT datac (852:852:852) (812:812:812))
- (PORT datad (1139:1139:1139) (1035:1035:1035))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (311:311:311))
- (PORT datab (275:275:275) (299:299:299))
- (PORT datac (446:446:446) (428:428:428))
- (PORT datad (1139:1139:1139) (1035:1035:1035))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (884:884:884) (819:819:819))
- (PORT datac (527:527:527) (559:559:559))
- (PORT datad (831:831:831) (826:826:826))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (495:495:495) (474:474:474))
- (PORT datad (839:839:839) (832:832:832))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT asdata (812:812:812) (920:920:920))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (382:382:382) (479:479:479))
- (PORT datab (820:820:820) (746:746:746))
- (PORT datad (782:782:782) (722:722:722))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (313:313:313))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datac (825:825:825) (781:781:781))
- (PORT datad (771:771:771) (703:703:703))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (764:764:764) (699:699:699))
- (PORT datab (858:858:858) (804:804:804))
- (PORT datac (812:812:812) (756:756:756))
- (PORT datad (239:239:239) (258:258:258))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (455:455:455) (424:424:424))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (828:828:828) (753:753:753))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (236:236:236) (262:262:262))
- (PORT datad (800:800:800) (757:757:757))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (407:407:407) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (889:889:889) (829:829:829))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datac (776:776:776) (757:757:757))
- (PORT datad (775:775:775) (731:731:731))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (PORT datab (875:875:875) (847:847:847))
- (PORT datac (444:444:444) (416:416:416))
- (PORT datad (774:774:774) (731:731:731))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (310:310:310))
- (PORT datab (749:749:749) (675:675:675))
- (PORT datac (817:817:817) (761:761:761))
- (PORT datad (800:800:800) (758:758:758))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (490:490:490) (467:467:467))
- (PORT datab (541:541:541) (500:500:500))
- (PORT datac (236:236:236) (262:262:262))
- (PORT datad (800:800:800) (758:758:758))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (890:890:890) (831:831:831))
- (PORT datab (605:605:605) (619:619:619))
- (PORT datac (237:237:237) (263:263:263))
- (PORT datad (775:775:775) (732:732:732))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (380:380:380) (471:471:471))
- (PORT datac (816:816:816) (798:798:798))
- (PORT datad (832:832:832) (765:765:765))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (842:842:842) (749:749:749))
- (PORT datad (546:546:546) (575:575:575))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (989:989:989) (988:988:988))
- (PORT datac (1022:1022:1022) (1037:1037:1037))
- (PORT datad (958:958:958) (970:970:970))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (383:383:383) (477:477:477))
- (PORT datab (333:333:333) (409:409:409))
- (PORT datac (772:772:772) (715:715:715))
- (PORT datad (817:817:817) (739:739:739))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (1255:1255:1255) (1209:1209:1209))
- (PORT datac (944:944:944) (966:966:966))
- (PORT datad (755:755:755) (685:685:685))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (868:868:868) (851:851:851))
- (PORT datab (366:366:366) (449:449:449))
- (PORT datac (326:326:326) (411:411:411))
- (PORT datad (328:328:328) (401:401:401))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1839:1839:1839) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1883:1883:1883) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (765:765:765) (716:716:716))
- (PORT datab (889:889:889) (877:877:877))
- (PORT datac (753:753:753) (687:687:687))
- (PORT datad (245:245:245) (267:267:267))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (1168:1168:1168) (1142:1142:1142))
- (PORT datad (1267:1267:1267) (1216:1216:1216))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (809:809:809) (806:806:806))
- (PORT datab (956:956:956) (950:950:950))
- (PORT datac (833:833:833) (778:778:778))
- (PORT datad (823:823:823) (778:778:778))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datab combout (393:393:393) (412:412:412))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (537:537:537) (565:565:565))
- (PORT datac (1078:1078:1078) (1103:1103:1103))
- (PORT datad (296:296:296) (366:366:366))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (342:342:342) (426:426:426))
- (PORT datab (1095:1095:1095) (1095:1095:1095))
- (PORT datac (295:295:295) (373:373:373))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT asdata (804:804:804) (886:886:886))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (379:379:379) (476:476:476))
- (PORT datab (825:825:825) (751:751:751))
- (PORT datad (775:775:775) (715:715:715))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (1020:1020:1020) (1034:1034:1034))
- (PORT datad (928:928:928) (938:938:938))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (322:322:322) (366:366:366))
- (PORT datab (336:336:336) (412:412:412))
- (PORT datac (569:569:569) (609:609:609))
- (PORT datad (281:281:281) (305:305:305))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_x\[10\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (854:854:854) (811:811:811))
- (PORT datad (522:522:522) (522:522:522))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|always0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (932:932:932) (901:901:901))
- (PORT datac (1188:1188:1188) (1099:1099:1099))
- (PORT datad (929:929:929) (884:884:884))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|always0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (278:278:278) (310:310:310))
- (PORT datab (937:937:937) (879:879:879))
- (PORT datac (1512:1512:1512) (1404:1404:1404))
- (PORT datad (272:272:272) (294:294:294))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[9\]\~14)
- (DELAY
- (ABSOLUTE
- (PORT datac (1188:1188:1188) (1100:1100:1100))
- (PORT datad (889:889:889) (849:849:849))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (296:296:296) (341:341:341))
- (PORT datab (292:292:292) (326:326:326))
- (PORT datac (858:858:858) (809:809:809))
- (PORT datad (856:856:856) (807:807:807))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (937:937:937) (896:896:896))
- (PORT datac (854:854:854) (819:819:819))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[10\]\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (311:311:311))
- (PORT datab (1456:1456:1456) (1327:1327:1327))
- (PORT datad (565:565:565) (552:552:552))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[4\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (1351:1351:1351) (1290:1290:1290))
- (PORT datac (1168:1168:1168) (1143:1143:1143))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (878:878:878) (825:825:825))
- (PORT datab (955:955:955) (949:949:949))
- (PORT datad (823:823:823) (779:779:779))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (423:423:423))
- (PORT datac (1078:1078:1078) (1103:1103:1103))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan10\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (903:903:903) (865:865:865))
- (PORT datab (867:867:867) (809:809:809))
- (PORT datac (518:518:518) (527:527:527))
- (PORT datad (531:531:531) (521:521:521))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~22)
- (DELAY
- (ABSOLUTE
- (PORT datac (855:855:855) (812:812:812))
- (PORT datad (484:484:484) (461:461:461))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~23)
- (DELAY
- (ABSOLUTE
- (PORT dataa (573:573:573) (572:572:572))
- (PORT datab (278:278:278) (304:304:304))
- (PORT datac (519:519:519) (529:529:529))
- (PORT datad (529:529:529) (511:511:511))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (407:407:407) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan14\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (602:602:602) (571:571:571))
- (PORT datad (484:484:484) (460:460:460))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~24)
- (DELAY
- (ABSOLUTE
- (PORT dataa (900:900:900) (862:862:862))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datac (518:518:518) (527:527:527))
- (PORT datad (531:531:531) (522:522:522))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~25)
- (DELAY
- (ABSOLUTE
- (PORT dataa (288:288:288) (327:327:327))
- (PORT datab (823:823:823) (731:731:731))
- (PORT datac (237:237:237) (264:264:264))
- (PORT datad (244:244:244) (266:266:266))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[5\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (382:382:382) (470:470:470))
- (PORT datac (356:356:356) (475:475:475))
- (PORT datad (580:580:580) (608:608:608))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (907:907:907) (862:862:862))
- (PORT datab (806:806:806) (759:759:759))
- (PORT datac (987:987:987) (856:856:856))
- (PORT datad (818:818:818) (801:801:801))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (338:338:338) (416:416:416))
- (PORT datac (1040:1040:1040) (1056:1056:1056))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[4\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (961:961:961) (944:944:944))
- (PORT datad (921:921:921) (915:915:915))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (340:340:340) (424:424:424))
- (PORT datab (1046:1046:1046) (1067:1067:1067))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1154:1154:1154) (1104:1104:1104))
- (PORT datab (898:898:898) (863:863:863))
- (PORT datac (1230:1230:1230) (1212:1212:1212))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[7\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (396:396:396) (497:497:497))
- (PORT datac (348:348:348) (466:466:466))
- (PORT datad (586:586:586) (614:614:614))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (638:638:638) (669:669:669))
- (PORT datab (370:370:370) (453:453:453))
- (PORT datac (1254:1254:1254) (1224:1224:1224))
- (PORT datad (264:264:264) (281:281:281))
- (IOPATH dataa combout (461:461:461) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1004:1004:1004) (1011:1011:1011))
- (PORT datab (1256:1256:1256) (1209:1209:1209))
- (PORT datac (571:571:571) (611:611:611))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~37)
- (DELAY
- (ABSOLUTE
- (PORT dataa (897:897:897) (840:840:840))
- (PORT datab (567:567:567) (572:572:572))
- (PORT datac (860:860:860) (818:818:818))
- (PORT datad (245:245:245) (267:267:267))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (2339:2339:2339) (2308:2308:2308))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (446:446:446) (409:409:409))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1121:1121:1121) (1033:1033:1033))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT dataa (874:874:874) (818:818:818))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (469:469:469) (437:437:437))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datab (1177:1177:1177) (1055:1055:1055))
- (IOPATH datab combout (472:472:472) (473:473:473))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (238:238:238) (256:256:256))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_clk_p\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2838:2838:2838) (2775:2775:2775))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_clk_n\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2838:2838:2838) (2775:2775:2775))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_p\[0\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2848:2848:2848) (2785:2785:2785))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_p\[1\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2848:2848:2848) (2785:2785:2785))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_p\[2\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2848:2848:2848) (2785:2785:2785))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_n\[0\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2838:2838:2838) (2775:2775:2775))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_n\[1\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2858:2858:2858) (2795:2795:2795))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE tmds_data_n\[2\]\~output)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (2848:2848:2848) (2785:2785:2785))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (450:450:450) (567:567:567))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~1)
- (DELAY
- (ABSOLUTE
- (PORT datad (304:304:304) (378:378:378))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT sclr (1069:1069:1069) (1226:1226:1226))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (337:337:337) (414:414:414))
- (PORT datad (305:305:305) (378:378:378))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT sclr (1069:1069:1069) (1226:1226:1226))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (423:423:423))
- (PORT datad (407:407:407) (519:519:519))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (405:405:405) (517:517:517))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (452:452:452) (569:569:569))
- (PORT datac (298:298:298) (377:377:377))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datac (302:302:302) (385:385:385))
- (PORT datad (408:408:408) (520:520:520))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (339:339:339) (417:417:417))
- (PORT datad (406:406:406) (517:517:517))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (336:336:336) (413:413:413))
- (PORT datad (406:406:406) (518:518:518))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_clk\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (806:806:806) (852:852:852))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[1\]\~clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (2339:2339:2339) (2308:2308:2308))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1793:1793:1793) (1777:1777:1777))
- (PORT D (1304:1304:1304) (1328:1328:1328))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1793:1793:1793) (1777:1777:1777))
- (PORT d (1340:1340:1340) (1366:1366:1366))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1966:1966:1966) (1972:1972:1972))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1796:1796:1796) (1779:1779:1779))
- (PORT D (902:902:902) (941:941:941))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1796:1796:1796) (1779:1779:1779))
- (PORT d (989:989:989) (1033:1033:1033))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1969:1969:1969) (1974:1974:1974))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (367:367:367) (448:448:448))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_rst_n\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (766:766:766) (812:812:812))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
- (DELAY
- (ABSOLUTE
- (PORT clk (1456:1456:1456) (1495:1495:1495))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (5321:5321:5321) (5126:5126:5126))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE rst_n\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (4410:4410:4410) (4581:4581:4581))
- (PORT datab (334:334:334) (410:410:410))
- (PORT datad (735:735:735) (769:769:769))
- (IOPATH dataa combout (421:421:421) (428:428:428))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE rst_n\~0clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (1831:1831:1831) (1724:1724:1724))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (370:370:370) (456:456:456))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (367:367:367) (450:450:450))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (359:359:359) (436:436:436))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (360:360:360) (436:436:436))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (394:394:394) (484:484:484))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (369:369:369) (459:459:459))
- (PORT datab (367:367:367) (449:449:449))
- (PORT datac (325:325:325) (410:410:410))
- (PORT datad (327:327:327) (404:404:404))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (386:386:386) (464:464:464))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~18)
- (DELAY
- (ABSOLUTE
- (PORT datab (396:396:396) (476:476:476))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~20)
- (DELAY
- (ABSOLUTE
- (PORT datab (391:391:391) (473:473:473))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~22)
- (DELAY
- (ABSOLUTE
- (PORT dataa (395:395:395) (484:484:484))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[11\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (395:395:395) (485:485:485))
- (PORT datab (393:393:393) (476:476:476))
- (PORT datac (353:353:353) (438:438:438))
- (PORT datad (350:350:350) (427:427:427))
- (IOPATH dataa combout (392:392:392) (407:407:407))
- (IOPATH datab combout (393:393:393) (412:412:412))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1109:1109:1109) (1022:1022:1022))
- (PORT datab (928:928:928) (885:885:885))
- (PORT datac (921:921:921) (868:868:868))
- (PORT datad (1141:1141:1141) (1043:1043:1043))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1851:1851:1851))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (664:664:664) (684:684:684))
- (PORT datab (1270:1270:1270) (1209:1209:1209))
- (PORT datac (583:583:583) (606:606:606))
- (PORT datad (581:581:581) (607:607:607))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (316:316:316) (354:354:354))
- (PORT datab (279:279:279) (305:305:305))
- (PORT datac (272:272:272) (304:304:304))
- (PORT datad (764:764:764) (688:688:688))
- (IOPATH dataa combout (420:420:420) (428:428:428))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (316:316:316) (354:354:354))
- (PORT datab (313:313:313) (342:342:342))
- (PORT datac (239:239:239) (266:266:266))
- (PORT datad (764:764:764) (689:689:689))
- (IOPATH dataa combout (420:420:420) (428:428:428))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan4\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (1268:1268:1268) (1206:1206:1206))
- (PORT datac (582:582:582) (606:606:606))
- (PORT datad (581:581:581) (606:606:606))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (610:610:610) (647:647:647))
- (PORT datab (671:671:671) (687:687:687))
- (PORT datac (623:623:623) (645:645:645))
- (PORT datad (745:745:745) (678:678:678))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (618:618:618) (627:627:627))
- (PORT datab (879:879:879) (839:839:839))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab cout (565:565:565) (421:421:421))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (618:618:618) (627:627:627))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (570:570:570) (596:596:596))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (642:642:642) (653:653:653))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~9)
- (DELAY
- (ABSOLUTE
- (PORT datab (1264:1264:1264) (1202:1202:1202))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~10)
- (DELAY
- (ABSOLUTE
- (PORT datab (640:640:640) (654:654:654))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (583:583:583) (606:606:606))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (659:659:659) (677:677:677))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (650:650:650) (659:659:659))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~18)
- (DELAY
- (ABSOLUTE
- (PORT datab (645:645:645) (650:650:650))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (911:911:911) (876:876:876))
- (PORT datab (569:569:569) (575:575:575))
- (PORT datac (520:520:520) (529:529:529))
- (PORT datad (529:529:529) (520:520:520))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (611:611:611) (648:648:648))
- (PORT datac (625:625:625) (647:647:647))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (970:970:970) (903:903:903))
- (PORT datac (883:883:883) (844:844:844))
- (PORT datad (1143:1143:1143) (1045:1045:1045))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (492:492:492) (473:473:473))
- (PORT datab (379:379:379) (446:446:446))
- (PORT datad (1204:1204:1204) (1128:1128:1128))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (314:314:314))
- (PORT datab (1456:1456:1456) (1328:1328:1328))
- (PORT datad (564:564:564) (551:551:551))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1839:1839:1839) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1883:1883:1883) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (760:760:760) (694:694:694))
- (PORT datab (379:379:379) (445:445:445))
- (PORT datad (1205:1205:1205) (1129:1129:1129))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (367:367:367) (455:455:455))
- (PORT datab (365:365:365) (447:447:447))
- (PORT datac (560:560:560) (575:575:575))
- (PORT datad (327:327:327) (400:400:400))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (763:763:763) (715:715:715))
- (PORT datab (376:376:376) (441:441:441))
- (PORT datad (1210:1210:1210) (1134:1134:1134))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (538:538:538) (497:497:497))
- (PORT datab (375:375:375) (439:439:439))
- (PORT datad (1214:1214:1214) (1138:1138:1138))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (812:812:812) (754:754:754))
- (PORT datab (310:310:310) (339:339:339))
- (PORT datac (329:329:329) (413:413:413))
- (PORT datad (330:330:330) (404:404:404))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (357:357:357) (433:433:433))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (628:628:628) (601:601:601))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datad (1423:1423:1423) (1292:1292:1292))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1839:1839:1839) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1883:1883:1883) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (475:475:475) (462:462:462))
- (PORT datab (375:375:375) (440:440:440))
- (PORT datad (1213:1213:1213) (1137:1137:1137))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (381:381:381) (475:475:475))
- (PORT datab (393:393:393) (476:476:476))
- (PORT datac (568:568:568) (594:594:594))
- (PORT datad (331:331:331) (409:409:409))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (287:287:287) (315:315:315))
- (PORT datac (239:239:239) (265:265:265))
- (PORT datad (1212:1212:1212) (1135:1135:1135))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (817:817:817) (737:737:737))
- (PORT datab (379:379:379) (444:444:444))
- (PORT datad (1206:1206:1206) (1129:1129:1129))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (489:489:489) (466:466:466))
- (PORT datab (380:380:380) (446:446:446))
- (PORT datad (1203:1203:1203) (1127:1127:1127))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (627:627:627) (631:631:631))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (532:532:532) (515:515:515))
- (PORT datab (484:484:484) (453:453:453))
- (PORT datad (1209:1209:1209) (1133:1133:1133))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~22)
- (DELAY
- (ABSOLUTE
- (PORT datad (320:320:320) (390:390:390))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (634:634:634) (609:609:609))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datad (1416:1416:1416) (1285:1285:1285))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[11\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1839:1839:1839) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1883:1883:1883) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (898:898:898) (867:867:867))
- (PORT datab (938:938:938) (897:897:897))
- (PORT datac (601:601:601) (619:619:619))
- (PORT datad (556:556:556) (578:578:578))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (791:791:791) (728:728:728))
- (PORT datac (851:851:851) (827:827:827))
- (PORT datad (247:247:247) (269:269:269))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (588:588:588) (554:554:554))
- (PORT datab (669:669:669) (685:685:685))
- (PORT datac (622:622:622) (644:644:644))
- (PORT datad (743:743:743) (677:677:677))
- (IOPATH dataa combout (393:393:393) (398:398:398))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (767:767:767) (719:719:719))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (261:261:261) (286:286:286))
- (PORT datad (240:240:240) (259:259:259))
- (IOPATH dataa combout (432:432:432) (446:446:446))
- (IOPATH datab combout (437:437:437) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (574:574:574) (573:573:573))
- (PORT datab (772:772:772) (714:714:714))
- (PORT datac (519:519:519) (528:528:528))
- (PORT datad (530:530:530) (512:512:512))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|always0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (566:566:566) (580:580:580))
- (PORT datab (568:568:568) (574:574:574))
- (PORT datac (862:862:862) (821:821:821))
- (PORT datad (246:246:246) (268:268:268))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (495:495:495) (490:490:490))
- (PORT datab (498:498:498) (492:492:492))
- (PORT datac (1541:1541:1541) (1408:1408:1408))
- (PORT datad (888:888:888) (834:834:834))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~17)
- (DELAY
- (ABSOLUTE
- (PORT dataa (575:575:575) (574:574:574))
- (PORT datac (518:518:518) (527:527:527))
- (PORT datad (532:532:532) (514:514:514))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~34)
- (DELAY
- (ABSOLUTE
- (PORT dataa (898:898:898) (841:841:841))
- (PORT datab (568:568:568) (573:573:573))
- (PORT datac (861:861:861) (820:820:820))
- (PORT datad (238:238:238) (257:257:257))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (574:574:574) (573:573:573))
- (PORT datad (531:531:531) (513:513:513))
- (IOPATH dataa combout (421:421:421) (428:428:428))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (905:905:905) (867:867:867))
- (PORT datab (566:566:566) (570:570:570))
- (PORT datac (518:518:518) (527:527:527))
- (PORT datad (237:237:237) (255:255:255))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[13\]\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (943:943:943) (877:877:877))
- (PORT datac (1395:1395:1395) (1222:1222:1222))
- (PORT datad (846:846:846) (790:790:790))
- (IOPATH dataa combout (420:420:420) (428:428:428))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~18)
- (DELAY
- (ABSOLUTE
- (PORT dataa (884:884:884) (843:843:843))
- (PORT datab (284:284:284) (314:314:314))
- (PORT datac (839:839:839) (785:785:785))
- (PORT datad (254:254:254) (287:287:287))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~20)
- (DELAY
- (ABSOLUTE
- (PORT datad (552:552:552) (568:568:568))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (935:935:935) (904:904:904))
- (PORT datab (914:914:914) (863:863:863))
- (PORT datac (1184:1184:1184) (1094:1094:1094))
- (PORT datad (934:934:934) (889:889:889))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (929:929:929) (865:865:865))
- (PORT datab (920:920:920) (871:871:871))
- (PORT datac (1512:1512:1512) (1404:1404:1404))
- (PORT datad (236:236:236) (255:255:255))
- (IOPATH dataa combout (393:393:393) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan14\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (934:934:934) (903:903:903))
- (PORT datac (863:863:863) (825:825:825))
- (PORT datad (930:930:930) (885:885:885))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~19)
- (DELAY
- (ABSOLUTE
- (PORT dataa (884:884:884) (843:843:843))
- (PORT datab (557:557:557) (517:517:517))
- (PORT datac (713:713:713) (629:629:629))
- (PORT datad (886:886:886) (832:832:832))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (455:455:455) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~20)
- (DELAY
- (ABSOLUTE
- (PORT datab (293:293:293) (327:327:327))
- (PORT datac (839:839:839) (786:786:786))
- (PORT datad (246:246:246) (268:268:268))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1161:1161:1161) (1056:1056:1056))
- (PORT datab (875:875:875) (820:820:820))
- (PORT datac (303:303:303) (386:386:386))
- (PORT datad (305:305:305) (379:379:379))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datad (1272:1272:1272) (1221:1221:1221))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT asdata (1683:1683:1683) (1621:1621:1621))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (629:629:629) (641:641:641))
- (PORT datab (371:371:371) (451:451:451))
- (PORT datac (329:329:329) (412:412:412))
- (PORT datad (338:338:338) (422:422:422))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (278:278:278) (310:310:310))
- (PORT datab (312:312:312) (342:342:342))
- (PORT datac (238:238:238) (264:264:264))
- (PORT datad (326:326:326) (399:399:399))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[1\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1263:1263:1263) (1171:1171:1171))
- (PORT datab (1498:1498:1498) (1358:1358:1358))
- (PORT datac (305:305:305) (388:388:388))
- (PORT datad (812:812:812) (770:770:770))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[2\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1264:1264:1264) (1172:1172:1172))
- (PORT datab (873:873:873) (818:818:818))
- (PORT datac (1455:1455:1455) (1319:1319:1319))
- (PORT datad (304:304:304) (378:378:378))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (1349:1349:1349) (1288:1288:1288))
- (PORT datac (1169:1169:1169) (1143:1143:1143))
- (PORT datad (1270:1270:1270) (1219:1219:1219))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datad (239:239:239) (257:257:257))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (574:574:574) (613:613:613))
- (PORT datab (954:954:954) (909:909:909))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (386:386:386) (470:470:470))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (386:386:386) (463:463:463))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (556:556:556) (592:592:592))
- (PORT datab (955:955:955) (950:950:950))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (644:644:644) (650:650:650))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (565:565:565) (579:579:579))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (557:557:557) (559:559:559))
- (PORT datab (502:502:502) (484:484:484))
- (PORT datac (236:236:236) (263:263:263))
- (PORT datad (454:454:454) (434:434:434))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (412:412:412))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (876:876:876) (823:823:823))
- (PORT datab (950:950:950) (944:944:944))
- (PORT datad (822:822:822) (778:778:778))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (544:544:544) (530:530:530))
- (PORT datab (279:279:279) (304:304:304))
- (PORT datac (838:838:838) (805:805:805))
- (PORT datad (822:822:822) (778:778:778))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (824:824:824) (813:813:813))
- (PORT datab (479:479:479) (462:462:462))
- (PORT datac (816:816:816) (749:749:749))
- (PORT datad (486:486:486) (455:455:455))
- (IOPATH dataa combout (420:420:420) (428:428:428))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (1349:1349:1349) (1287:1287:1287))
- (PORT datac (1170:1170:1170) (1145:1145:1145))
- (PORT datad (1274:1274:1274) (1223:1223:1223))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (1350:1350:1350) (1288:1288:1288))
- (PORT datac (1169:1169:1169) (1144:1144:1144))
- (PORT datad (1271:1271:1271) (1221:1221:1221))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (544:544:544) (530:530:530))
- (PORT datab (280:280:280) (305:305:305))
- (PORT datac (882:882:882) (850:850:850))
- (PORT datad (822:822:822) (778:778:778))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (858:858:858) (795:795:795))
- (PORT datab (278:278:278) (304:304:304))
- (PORT datac (793:793:793) (770:770:770))
- (PORT datad (453:453:453) (429:429:429))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1854:1854:1854))
- (PORT asdata (1588:1588:1588) (1540:1540:1540))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (558:558:558) (560:560:560))
- (PORT datab (530:530:530) (493:493:493))
- (PORT datac (477:477:477) (446:446:446))
- (PORT datad (896:896:896) (862:862:862))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (597:597:597) (654:654:654))
- (PORT datab (654:654:654) (676:676:676))
- (PORT datac (534:534:534) (564:564:564))
- (PORT datad (523:523:523) (548:548:548))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (473:473:473) (489:489:489))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (866:866:866) (839:839:839))
- (PORT datad (477:477:477) (451:451:451))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (537:537:537) (496:496:496))
- (PORT datab (475:475:475) (459:459:459))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab cout (565:565:565) (421:421:421))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (806:806:806) (728:728:728))
- (PORT datab (541:541:541) (504:504:504))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1259:1259:1259) (1166:1166:1166))
- (PORT datac (1452:1452:1452) (1315:1315:1315))
- (PORT datad (812:812:812) (771:771:771))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg1)
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (297:297:297) (368:368:368))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2)
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sclr (1477:1477:1477) (1540:1540:1540))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (287:287:287) (322:322:322))
- (PORT datab (903:903:903) (872:872:872))
- (PORT datac (626:626:626) (652:652:652))
- (PORT datad (479:479:479) (453:453:453))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (PORT datab (955:955:955) (950:950:950))
- (PORT datac (799:799:799) (791:791:791))
- (PORT datad (822:822:822) (778:778:778))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (490:490:490) (472:472:472))
- (PORT datad (238:238:238) (256:256:256))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sclr (1477:1477:1477) (1540:1540:1540))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (597:597:597) (653:653:653))
- (PORT datab (656:656:656) (677:677:677))
- (PORT datac (534:534:534) (564:564:564))
- (PORT datad (523:523:523) (548:548:548))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (432:432:432) (433:433:433))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (538:538:538) (502:502:502))
- (PORT datab (904:904:904) (872:872:872))
- (PORT datac (616:616:616) (639:639:639))
- (PORT datad (470:470:470) (442:442:442))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (804:804:804) (747:747:747))
- (PORT datab (361:361:361) (437:437:437))
- (PORT datad (794:794:794) (719:719:719))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (738:738:738) (668:668:668))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (875:875:875) (870:870:870))
- (PORT datab (648:648:648) (652:652:652))
- (PORT datac (594:594:594) (617:617:617))
- (PORT datad (554:554:554) (569:569:569))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (667:667:667) (686:686:686))
- (PORT datab (645:645:645) (658:658:658))
- (PORT datac (1230:1230:1230) (1173:1173:1173))
- (PORT datad (237:237:237) (255:255:255))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg1)
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2)
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT asdata (760:760:760) (829:829:829))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1694:1694:1694) (1667:1667:1667))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (PORT sload (1861:1861:1861) (1950:1950:1950))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[7\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (1349:1349:1349) (1288:1288:1288))
- (PORT datad (1273:1273:1273) (1222:1222:1222))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1841:1841:1841) (1854:1854:1854))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1858:1858:1858))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (878:878:878) (825:825:825))
- (PORT datab (954:954:954) (948:948:948))
- (PORT datac (811:811:811) (773:773:773))
- (PORT datad (823:823:823) (778:778:778))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (679:679:679) (607:607:607))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1697:1697:1697) (1671:1671:1671))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (PORT sload (1861:1861:1861) (1950:1950:1950))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (382:382:382) (476:476:476))
- (PORT datab (396:396:396) (480:480:480))
- (PORT datac (548:548:548) (569:569:569))
- (PORT datad (246:246:246) (271:271:271))
- (IOPATH dataa combout (392:392:392) (407:407:407))
- (IOPATH datab combout (393:393:393) (412:412:412))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg1)
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1853:1853:1853))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1857:1857:1857))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (1396:1396:1396) (1298:1298:1298))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2)
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (821:821:821) (749:749:749))
- (PORT datab (1282:1282:1282) (1246:1246:1246))
- (PORT datac (1097:1097:1097) (1062:1062:1062))
- (PORT datad (909:909:909) (898:898:898))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (336:336:336) (412:412:412))
- (PORT datac (1077:1077:1077) (1103:1103:1103))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (346:346:346) (429:429:429))
- (PORT datac (1077:1077:1077) (1103:1103:1103))
- (PORT datad (296:296:296) (366:366:366))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (347:347:347) (431:431:431))
- (PORT datac (1077:1077:1077) (1103:1103:1103))
- (PORT datad (296:296:296) (366:366:366))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (423:423:423))
- (PORT datac (1077:1077:1077) (1103:1103:1103))
- (PORT datad (295:295:295) (365:365:365))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (338:338:338) (415:415:415))
- (PORT datac (1078:1078:1078) (1104:1104:1104))
- (PORT datad (298:298:298) (368:368:368))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1335:1335:1335) (1336:1336:1336))
- (PORT clrn (1886:1886:1886) (1859:1859:1859))
- (PORT sload (1624:1624:1624) (1684:1684:1684))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (819:819:819) (747:747:747))
- (PORT datab (1284:1284:1284) (1249:1249:1249))
- (PORT datac (1094:1094:1094) (1058:1058:1058))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1887:1887:1887) (1859:1859:1859))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (423:423:423))
- (PORT datab (337:337:337) (414:414:414))
- (PORT datac (1078:1078:1078) (1104:1104:1104))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (452:452:452) (569:569:569))
- (PORT datac (911:911:911) (904:904:904))
- (PORT datad (893:893:893) (882:882:882))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1842:1842:1842) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1796:1796:1796) (1779:1779:1779))
- (PORT D (1266:1266:1266) (1278:1278:1278))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1796:1796:1796) (1779:1779:1779))
- (PORT d (972:972:972) (1032:1032:1032))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1969:1969:1969) (1974:1974:1974))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1001:1001:1001) (944:944:944))
- (PORT datab (914:914:914) (862:862:862))
- (PORT datac (1186:1186:1186) (1097:1097:1097))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[9\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (313:313:313))
- (PORT datab (935:935:935) (877:877:877))
- (PORT datac (1511:1511:1511) (1403:1403:1403))
- (PORT datad (272:272:272) (293:293:293))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~35)
- (DELAY
- (ABSOLUTE
- (PORT dataa (289:289:289) (328:328:328))
- (PORT datab (568:568:568) (574:574:574))
- (PORT datac (863:863:863) (822:822:822))
- (PORT datad (246:246:246) (268:268:268))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (455:455:455) (412:412:412))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~36)
- (DELAY
- (ABSOLUTE
- (PORT dataa (297:297:297) (342:342:342))
- (PORT datab (921:921:921) (872:872:872))
- (PORT datac (1184:1184:1184) (1094:1094:1094))
- (PORT datad (251:251:251) (282:282:282))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~21)
- (DELAY
- (ABSOLUTE
- (PORT dataa (494:494:494) (489:489:489))
- (PORT datab (497:497:497) (491:491:491))
- (PORT datac (1540:1540:1540) (1407:1407:1407))
- (PORT datad (887:887:887) (833:833:833))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~26)
- (DELAY
- (ABSOLUTE
- (PORT dataa (889:889:889) (853:853:853))
- (PORT datab (842:842:842) (807:807:807))
- (PORT datac (242:242:242) (273:273:273))
- (PORT datad (460:460:460) (440:440:440))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_x\[11\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (1185:1185:1185) (1067:1067:1067))
- (PORT datad (858:858:858) (810:810:810))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~27)
- (DELAY
- (ABSOLUTE
- (PORT dataa (295:295:295) (339:339:339))
- (PORT datab (294:294:294) (328:328:328))
- (PORT datac (237:237:237) (263:263:263))
- (PORT datad (844:844:844) (792:792:792))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[10\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (828:828:828) (766:766:766))
- (PORT datab (1440:1440:1440) (1301:1301:1301))
- (PORT datac (562:562:562) (583:583:583))
- (PORT datad (1670:1670:1670) (1467:1467:1467))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~29)
- (DELAY
- (ABSOLUTE
- (PORT dataa (932:932:932) (901:901:901))
- (PORT datab (913:913:913) (862:862:862))
- (PORT datac (1190:1190:1190) (1102:1102:1102))
- (PORT datad (928:928:928) (882:882:882))
- (IOPATH dataa combout (453:453:453) (428:428:428))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~30)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1231:1231:1231) (1143:1143:1143))
- (PORT datab (920:920:920) (872:872:872))
- (PORT datac (1512:1512:1512) (1404:1404:1404))
- (PORT datad (876:876:876) (829:829:829))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~31)
- (DELAY
- (ABSOLUTE
- (PORT datab (275:275:275) (300:300:300))
- (PORT datac (238:238:238) (264:264:264))
- (PORT datad (265:265:265) (283:283:283))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[6\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (830:830:830) (768:768:768))
- (PORT datab (1423:1423:1423) (1274:1274:1274))
- (PORT datac (1397:1397:1397) (1261:1261:1261))
- (PORT datad (552:552:552) (571:571:571))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add5\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (567:567:567) (605:605:605))
- (PORT datab (745:745:745) (690:690:690))
- (PORT datac (564:564:564) (584:584:584))
- (PORT datad (556:556:556) (575:575:575))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (592:592:592) (637:637:637))
- (PORT datab (397:397:397) (498:498:498))
- (PORT datac (354:354:354) (473:473:473))
- (PORT datad (582:582:582) (609:609:609))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~28)
- (DELAY
- (ABSOLUTE
- (PORT dataa (885:885:885) (849:849:849))
- (PORT datab (840:840:840) (805:805:805))
- (PORT datac (247:247:247) (278:278:278))
- (PORT datad (458:458:458) (438:438:438))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (829:829:829) (767:767:767))
- (PORT datab (1423:1423:1423) (1274:1274:1274))
- (PORT datac (1397:1397:1397) (1262:1262:1262))
- (PORT datad (521:521:521) (547:547:547))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (383:383:383) (477:477:477))
- (PORT datab (395:395:395) (510:510:510))
- (PORT datac (338:338:338) (428:428:428))
- (PORT datad (546:546:546) (561:561:561))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (397:397:397) (498:498:498))
- (PORT datac (355:355:355) (474:474:474))
- (PORT datad (581:581:581) (608:608:608))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1583:1583:1583) (1534:1534:1534))
- (PORT datab (949:949:949) (926:926:926))
- (PORT datac (807:807:807) (803:803:803))
- (PORT datad (852:852:852) (825:825:825))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1585:1585:1585) (1537:1537:1537))
- (PORT datab (948:948:948) (925:925:925))
- (PORT datac (808:808:808) (805:805:805))
- (PORT datad (851:851:851) (823:823:823))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (440:440:440) (462:462:462))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (589:589:589) (634:634:634))
- (PORT datab (395:395:395) (509:509:509))
- (PORT datac (354:354:354) (458:458:458))
- (PORT datad (585:585:585) (613:613:613))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1838:1838:1838) (1850:1850:1850))
- (PORT asdata (2131:2131:2131) (2021:2021:2021))
- (PORT clrn (1882:1882:1882) (1854:1854:1854))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (898:898:898) (881:881:881))
- (PORT datab (566:566:566) (593:593:593))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1536:1536:1536) (1494:1494:1494))
- (PORT datab (910:910:910) (899:899:899))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (591:591:591) (636:636:636))
- (PORT datab (397:397:397) (512:512:512))
- (PORT datac (355:355:355) (459:459:459))
- (PORT datad (583:583:583) (611:611:611))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1116:1116:1116) (1072:1072:1072))
- (PORT datab (1849:1849:1849) (1763:1763:1763))
- (IOPATH dataa combout (461:461:461) (486:486:486))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (455:455:455) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (907:907:907) (854:854:854))
- (PORT datab (276:276:276) (300:300:300))
- (PORT datac (449:449:449) (436:436:436))
- (PORT datad (1137:1137:1137) (1033:1033:1033))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (PORT datab (543:543:543) (502:502:502))
- (PORT datac (239:239:239) (266:266:266))
- (PORT datad (1140:1140:1140) (1036:1036:1036))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (337:337:337) (427:427:427))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (310:310:310))
- (PORT datab (278:278:278) (303:303:303))
- (PORT datac (822:822:822) (765:765:765))
- (PORT datad (912:912:912) (881:881:881))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (824:824:824) (745:745:745))
- (PORT datab (1547:1547:1547) (1392:1392:1392))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1851:1851:1851))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (PORT sclr (1457:1457:1457) (1514:1514:1514))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (361:361:361) (444:444:444))
- (PORT datab (848:848:848) (817:817:817))
- (PORT datac (318:318:318) (396:396:396))
- (PORT datad (321:321:321) (391:391:391))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (289:289:289) (319:319:319))
- (PORT datad (329:329:329) (406:406:406))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (PORT datab (278:278:278) (303:303:303))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab cout (565:565:565) (421:421:421))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (820:820:820) (745:745:745))
- (PORT datab (472:472:472) (455:455:455))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (534:534:534) (493:493:493))
- (PORT datab (751:751:751) (678:678:678))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1851:1851:1851))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (PORT sclr (1457:1457:1457) (1514:1514:1514))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (909:909:909) (855:855:855))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (235:235:235) (261:261:261))
- (PORT datad (1138:1138:1138) (1034:1034:1034))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (280:280:280) (312:312:312))
- (PORT datab (277:277:277) (301:301:301))
- (PORT datac (711:711:711) (641:641:641))
- (PORT datad (826:826:826) (772:772:772))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (278:278:278) (310:310:310))
- (PORT datab (803:803:803) (726:726:726))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1851:1851:1851))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (PORT sclr (1457:1457:1457) (1514:1514:1514))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1581:1581:1581) (1533:1533:1533))
- (PORT datab (949:949:949) (926:926:926))
- (PORT datac (806:806:806) (803:803:803))
- (PORT datad (853:853:853) (826:826:826))
- (IOPATH dataa combout (461:461:461) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (908:908:908) (889:889:889))
- (PORT datab (368:368:368) (448:448:448))
- (PORT datac (793:793:793) (709:709:709))
- (PORT datad (248:248:248) (275:275:275))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (972:972:972) (869:869:869))
- (PORT datab (809:809:809) (762:762:762))
- (PORT datad (819:819:819) (803:803:803))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (282:282:282) (314:314:314))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (1044:1044:1044) (943:943:943))
- (PORT datad (530:530:530) (505:505:505))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (867:867:867) (798:798:798))
- (PORT datab (950:950:950) (927:927:927))
- (PORT datac (810:810:810) (807:807:807))
- (PORT datad (236:236:236) (254:254:254))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1851:1851:1851))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (PORT sclr (1457:1457:1457) (1514:1514:1514))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~6)
- (DELAY
- (ABSOLUTE
- (PORT datad (591:591:591) (618:618:618))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (742:742:742) (679:679:679))
- (PORT datab (792:792:792) (710:710:710))
- (PORT datac (770:770:770) (709:709:709))
- (PORT datad (781:781:781) (721:721:721))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (803:803:803) (737:737:737))
- (PORT datad (452:452:452) (430:430:430))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1851:1851:1851))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (PORT sclr (1457:1457:1457) (1514:1514:1514))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (933:933:933) (896:896:896))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (237:237:237) (264:264:264))
- (PORT datad (590:590:590) (617:617:617))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT asdata (803:803:803) (884:884:884))
- (PORT clrn (1884:1884:1884) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (380:380:380) (477:477:477))
- (PORT datab (824:824:824) (751:751:751))
- (PORT datad (776:776:776) (716:716:716))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (780:780:780) (695:695:695))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1719:1719:1719) (1680:1680:1680))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (PORT sload (1425:1425:1425) (1434:1434:1434))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (798:798:798) (777:777:777))
- (PORT datab (808:808:808) (760:760:760))
- (PORT datac (986:986:986) (855:855:855))
- (PORT datad (820:820:820) (803:803:803))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (238:238:238) (256:256:256))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1722:1722:1722) (1684:1684:1684))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (PORT sload (1425:1425:1425) (1434:1434:1434))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (422:422:422))
- (PORT datab (336:336:336) (413:413:413))
- (PORT datac (1040:1040:1040) (1056:1056:1056))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (342:342:342) (425:425:425))
- (PORT datac (1038:1038:1038) (1054:1054:1054))
- (PORT datad (298:298:298) (368:368:368))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (347:347:347) (430:430:430))
- (PORT datac (1040:1040:1040) (1056:1056:1056))
- (PORT datad (298:298:298) (368:368:368))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (987:987:987) (991:991:991))
- (PORT datac (1441:1441:1441) (1373:1373:1373))
- (PORT datad (1255:1255:1255) (1202:1202:1202))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1891:1891:1891) (1861:1861:1861))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (336:336:336) (412:412:412))
- (PORT datad (1042:1042:1042) (1073:1073:1073))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (348:348:348) (439:439:439))
- (PORT datab (1086:1086:1086) (1121:1121:1121))
- (PORT datac (295:295:295) (373:373:373))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (340:340:340) (424:424:424))
- (PORT datab (1086:1086:1086) (1121:1121:1121))
- (PORT datac (296:296:296) (374:374:374))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (347:347:347) (438:438:438))
- (PORT datab (1087:1087:1087) (1122:1122:1122))
- (PORT datad (296:296:296) (366:366:366))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (996:996:996) (972:972:972))
- (PORT datab (1087:1087:1087) (1122:1122:1122))
- (PORT datac (296:296:296) (374:374:374))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1798:1798:1798) (1784:1784:1784))
- (PORT D (882:882:882) (936:936:936))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1798:1798:1798) (1784:1784:1784))
- (PORT d (1325:1325:1325) (1362:1362:1362))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1971:1971:1971) (1979:1979:1979))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~33)
- (DELAY
- (ABSOLUTE
- (PORT dataa (870:870:870) (831:831:831))
- (PORT datab (293:293:293) (328:328:328))
- (PORT datad (246:246:246) (268:268:268))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[13\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[13\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1262:1262:1262) (1169:1169:1169))
- (PORT datab (1497:1497:1497) (1356:1356:1356))
- (PORT datac (305:305:305) (388:388:388))
- (PORT datad (812:812:812) (771:771:771))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~32)
- (DELAY
- (ABSOLUTE
- (PORT dataa (869:869:869) (830:830:830))
- (PORT datab (283:283:283) (314:314:314))
- (PORT datac (857:857:857) (804:804:804))
- (PORT datad (843:843:843) (792:792:792))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[15\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1161:1161:1161) (1056:1056:1056))
- (PORT datab (873:873:873) (818:818:818))
- (PORT datac (305:305:305) (389:389:389))
- (PORT datad (532:532:532) (555:555:555))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[12\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1261:1261:1261) (1168:1168:1168))
- (PORT datab (1497:1497:1497) (1356:1356:1356))
- (PORT datac (323:323:323) (402:402:402))
- (PORT datad (812:812:812) (771:771:771))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (993:993:993) (992:992:992))
- (PORT datac (1027:1027:1027) (1043:1043:1043))
- (PORT datad (960:960:960) (972:972:972))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (993:993:993) (993:993:993))
- (PORT datac (1028:1028:1028) (1044:1044:1044))
- (PORT datad (960:960:960) (972:972:972))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (1021:1021:1021) (1035:1035:1035))
- (PORT datad (957:957:957) (969:969:969))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (902:902:902) (912:912:912))
- (PORT datab (907:907:907) (896:896:896))
- (PORT datac (894:894:894) (881:881:881))
- (PORT datad (824:824:824) (807:807:807))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datac (1027:1027:1027) (1042:1042:1042))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (903:903:903) (913:913:913))
- (PORT datab (913:913:913) (903:903:903))
- (PORT datac (902:902:902) (890:890:890))
- (PORT datad (821:821:821) (804:804:804))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (945:945:945) (902:902:902))
- (PORT datab (862:862:862) (850:850:850))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (278:278:278) (310:310:310))
- (PORT datab (279:279:279) (305:305:305))
- (PORT datac (823:823:823) (779:779:779))
- (PORT datad (770:770:770) (701:701:701))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (407:407:407) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (883:883:883) (865:865:865))
- (PORT datab (868:868:868) (856:856:856))
- (PORT datac (492:492:492) (462:462:462))
- (PORT datad (835:835:835) (769:769:769))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (394:394:394) (480:480:480))
- (PORT datab (374:374:374) (463:463:463))
- (PORT datac (325:325:325) (410:410:410))
- (PORT datad (327:327:327) (404:404:404))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (854:854:854) (825:825:825))
- (PORT datad (726:726:726) (656:656:656))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1087:1087:1087) (966:966:966))
- (PORT datab (1047:1047:1047) (920:920:920))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab cout (565:565:565) (421:421:421))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (314:314:314))
- (PORT datab (277:277:277) (302:302:302))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1892:1892:1892) (1863:1863:1863))
- (PORT sclr (1798:1798:1798) (1895:1895:1895))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (858:858:858) (844:844:844))
- (PORT datab (376:376:376) (466:466:466))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (848:848:848) (751:751:751))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (828:828:828) (818:818:818))
- (PORT datad (834:834:834) (767:767:767))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (407:407:407) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (478:478:478) (468:468:468))
- (PORT datab (541:541:541) (503:503:503))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (752:752:752) (691:691:691))
- (PORT datab (276:276:276) (301:301:301))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (492:492:492) (475:475:475))
- (PORT datab (276:276:276) (301:301:301))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1892:1892:1892) (1863:1863:1863))
- (PORT sclr (1798:1798:1798) (1895:1895:1895))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT datad (237:237:237) (255:255:255))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (797:797:797) (717:717:717))
- (PORT datab (837:837:837) (744:744:744))
- (PORT datac (851:851:851) (822:822:822))
- (PORT datad (551:551:551) (581:581:581))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (322:322:322) (366:366:366))
- (PORT datab (608:608:608) (644:644:644))
- (PORT datad (281:281:281) (305:305:305))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (311:311:311))
- (PORT datab (818:818:818) (775:775:775))
- (PORT datac (821:821:821) (796:796:796))
- (PORT datad (443:443:443) (415:415:415))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1892:1892:1892) (1863:1863:1863))
- (PORT sclr (1798:1798:1798) (1895:1895:1895))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (862:862:862) (850:850:850))
- (PORT datab (949:949:949) (920:920:920))
- (IOPATH dataa combout (461:461:461) (486:486:486))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (455:455:455) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (860:860:860) (848:848:848))
- (PORT datab (953:953:953) (926:926:926))
- (IOPATH dataa combout (453:453:453) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab combout (473:473:473) (489:489:489))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (878:878:878) (810:810:810))
- (PORT datab (276:276:276) (301:301:301))
- (PORT datac (238:238:238) (264:264:264))
- (PORT datad (801:801:801) (758:758:758))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (848:848:848) (759:759:759))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (690:690:690) (636:636:636))
- (PORT datad (835:835:835) (768:768:768))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1892:1892:1892) (1863:1863:1863))
- (PORT sclr (1798:1798:1798) (1895:1895:1895))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT asdata (1652:1652:1652) (1603:1603:1603))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~8)
- (DELAY
- (ABSOLUTE
- (PORT datad (861:861:861) (829:829:829))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (893:893:893) (834:834:834))
- (PORT datab (485:485:485) (466:466:466))
- (PORT datac (746:746:746) (671:671:671))
- (PORT datad (777:777:777) (734:734:734))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~6)
- (DELAY
- (ABSOLUTE
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (810:810:810) (728:728:728))
- (PORT datab (275:275:275) (299:299:299))
- (PORT datac (710:710:710) (638:638:638))
- (PORT datad (777:777:777) (734:734:734))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (488:488:488) (476:476:476))
- (PORT datad (450:450:450) (428:428:428))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1892:1892:1892) (1863:1863:1863))
- (PORT sclr (1798:1798:1798) (1895:1895:1895))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sclr (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (796:796:796) (720:720:720))
- (PORT datab (783:783:783) (705:705:705))
- (PORT datac (859:859:859) (818:818:818))
- (PORT datad (551:551:551) (580:580:580))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT asdata (1488:1488:1488) (1493:1493:1493))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (817:817:817) (763:763:763))
- (PORT datab (877:877:877) (788:788:788))
- (PORT datad (339:339:339) (424:424:424))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (462:462:462))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (855:855:855) (792:792:792))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1909:1909:1909) (1833:1833:1833))
- (PORT clrn (1891:1891:1891) (1861:1861:1861))
- (PORT sload (1745:1745:1745) (1782:1782:1782))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[7\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT datac (1019:1019:1019) (1033:1033:1033))
- (PORT datad (956:956:956) (968:968:968))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (384:384:384) (479:479:479))
- (PORT datab (333:333:333) (409:409:409))
- (PORT datac (772:772:772) (715:715:715))
- (PORT datad (817:817:817) (739:739:739))
- (IOPATH dataa combout (438:438:438) (448:448:448))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]\~feeder)
- (DELAY
- (ABSOLUTE
- (PORT datad (765:765:765) (677:677:677))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1909:1909:1909) (1832:1832:1832))
- (PORT clrn (1891:1891:1891) (1861:1861:1861))
- (PORT sload (1745:1745:1745) (1782:1782:1782))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (641:641:641) (673:673:673))
- (PORT datab (372:372:372) (455:455:455))
- (PORT datac (1255:1255:1255) (1225:1225:1225))
- (PORT datad (859:859:859) (813:813:813))
- (IOPATH dataa combout (461:461:461) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1885:1885:1885) (1856:1856:1856))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (337:337:337) (414:414:414))
- (PORT datac (1039:1039:1039) (1055:1055:1055))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1840:1840:1840) (1852:1852:1852))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT datab (346:346:346) (430:430:430))
- (PORT datac (937:937:937) (927:927:927))
- (PORT datad (1043:1043:1043) (1074:1074:1074))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (346:346:346) (430:430:430))
- (PORT datac (295:295:295) (373:373:373))
- (PORT datad (1043:1043:1043) (1075:1075:1075))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (340:340:340) (424:424:424))
- (PORT datab (1086:1086:1086) (1121:1121:1121))
- (PORT datac (298:298:298) (376:376:376))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (338:338:338) (415:415:415))
- (PORT datac (940:940:940) (953:953:953))
- (PORT datad (296:296:296) (366:366:366))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1999:1999:1999) (1897:1897:1897))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (PORT sload (1763:1763:1763) (1796:1796:1796))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (322:322:322) (366:366:366))
- (PORT datab (613:613:613) (648:648:648))
- (PORT datad (281:281:281) (305:305:305))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2\~_wirecell)
- (DELAY
- (ABSOLUTE
- (IOPATH datac combout (462:462:462) (482:482:482))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (PORT asdata (1672:1672:1672) (1549:1549:1549))
- (PORT clrn (1893:1893:1893) (1863:1863:1863))
- (PORT sload (1763:1763:1763) (1796:1796:1796))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- (HOLD sload (posedge clk) (212:212:212))
- (HOLD asdata (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (339:339:339) (423:423:423))
- (PORT datab (338:338:338) (415:415:415))
- (PORT datad (1006:1006:1006) (1025:1025:1025))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (340:340:340) (425:425:425))
- (PORT datab (1044:1044:1044) (1065:1065:1065))
- (PORT datad (298:298:298) (368:368:368))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (343:343:343) (428:428:428))
- (PORT datab (1046:1046:1046) (1068:1068:1068))
- (PORT datad (299:299:299) (369:369:369))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (337:337:337) (414:414:414))
- (PORT datac (979:979:979) (987:987:987))
- (PORT datad (296:296:296) (366:366:366))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1849:1849:1849) (1859:1859:1859))
- (PORT d (99:99:99) (115:115:115))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1800:1800:1800) (1786:1786:1786))
- (PORT D (884:884:884) (939:939:939))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1800:1800:1800) (1786:1786:1786))
- (PORT d (1354:1354:1354) (1392:1392:1392))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1973:1973:1973) (1981:1981:1981))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1796:1796:1796) (1779:1779:1779))
- (PORT D (1231:1231:1231) (1313:1313:1313))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1796:1796:1796) (1779:1779:1779))
- (PORT d (974:974:974) (1030:1030:1030))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1969:1969:1969) (1974:1974:1974))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1798:1798:1798) (1784:1784:1784))
- (PORT D (889:889:889) (929:929:929))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1798:1798:1798) (1784:1784:1784))
- (PORT d (1304:1304:1304) (1383:1383:1383))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1971:1971:1971) (1979:1979:1979))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_latch")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
- (DELAY
- (ABSOLUTE
- (PORT ENA (1800:1800:1800) (1786:1786:1786))
- (PORT D (892:892:892) (931:931:931))
- (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP D (negedge ENA) (565:565:565))
- (HOLD D (negedge ENA) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
- (DELAY
- (ABSOLUTE
- (PORT clk (1800:1800:1800) (1786:1786:1786))
- (PORT d (1334:1334:1334) (1412:1412:1412))
- (IOPATH (posedge clk) q (234:234:234) (234:234:234))
- )
- )
- (TIMINGCHECK
- (SETUP d (posedge clk) (109:109:109))
- (HOLD d (posedge clk) (126:126:126))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_mux21")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
- (DELAY
- (ABSOLUTE
- (PORT A (0:0:0) (0:0:0))
- (PORT B (0:0:0) (0:0:0))
- (PORT S (1973:1973:1973) (1981:1981:1981))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_routing_wire")
- (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
- (DELAY
- (ABSOLUTE
- (IOPATH datain dataout (548:548:548) (549:549:549))
- )
- )
- (DELAY
- (PATHPULSE datain dataout (548:548:548))
- )
- )
-)
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This file contains Slow Corner delays for the design using part EP4CE15F23C8,
+// with speed grade 8, core voltage 1.2V, and temperature 85 Celsius
+//
+
+//
+// This SDF file should be used for ModelSim (Verilog) only
+//
+
+(DELAYFILE
+ (SDFVERSION "2.1")
+ (DESIGN "hdmi_colorbar")
+ (DATE "04/29/2025 22:08:28")
+ (VENDOR "Altera")
+ (PROGRAM "Quartus II 32-bit")
+ (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition")
+ (DIVIDER .)
+ (TIMESCALE 1 ps)
+
+ (CELL
+ (CELLTYPE "cycloneive_pll")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
+ (DELAY
+ (ABSOLUTE
+ (PORT areset (4503:4503:4503) (4503:4503:4503))
+ (PORT inclk[0] (2340:2340:2340) (2340:2340:2340))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1862:1862:1862) (1877:1877:1877))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1906:1906:1906) (1881:1881:1881))
+ (PORT sclr (2625:2625:2625) (2815:2815:2815))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1862:1862:1862) (1877:1877:1877))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1906:1906:1906) (1881:1881:1881))
+ (PORT sclr (2625:2625:2625) (2815:2815:2815))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1301:1301:1301) (1221:1221:1221))
+ (PORT clrn (1901:1901:1901) (1876:1876:1876))
+ (PORT sload (1666:1666:1666) (1746:1746:1746))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1705:1705:1705) (1641:1641:1641))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (PORT sload (1220:1220:1220) (1194:1194:1194))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (921:921:921) (943:943:943))
+ (PORT datab (895:895:895) (907:907:907))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (898:898:898) (917:917:917))
+ (PORT datab (1232:1232:1232) (1190:1190:1190))
+ (IOPATH dataa combout (453:453:453) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (473:473:473) (489:489:489))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (924:924:924) (908:908:908))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (596:596:596) (636:636:636))
+ (PORT datab (345:345:345) (433:433:433))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (947:947:947) (915:915:915))
+ (PORT datab (640:640:640) (653:653:653))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (956:956:956) (952:952:952))
+ (PORT datab (950:950:950) (923:923:923))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (659:659:659) (666:666:666))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (659:659:659) (665:665:665))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (922:922:922) (944:944:944))
+ (PORT datab (894:894:894) (905:905:905))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (900:900:900) (916:916:916))
+ (PORT datab (1229:1229:1229) (1187:1187:1187))
+ (IOPATH dataa combout (461:461:461) (486:486:486))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (455:455:455) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (926:926:926) (910:910:910))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (599:599:599) (639:639:639))
+ (PORT datab (350:350:350) (439:439:439))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (883:883:883) (872:872:872))
+ (PORT datab (643:643:643) (655:655:655))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (959:959:959) (957:957:957))
+ (PORT datab (854:854:854) (858:858:858))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (919:919:919) (898:898:898))
+ (PORT datab (660:660:660) (667:667:667))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (660:660:660) (667:667:667))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (661:661:661) (685:685:685))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (682:682:682) (701:701:701))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (370:370:370) (459:459:459))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (403:403:403) (497:497:497))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (864:864:864) (780:780:780))
+ (PORT datab (758:758:758) (696:696:696))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (769:769:769) (713:713:713))
+ (PORT datab (572:572:572) (540:540:540))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2374:2374:2374) (2263:2263:2263))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (PORT sload (2028:2028:2028) (2102:2102:2102))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1890:1890:1890) (1745:1745:1745))
+ (PORT clrn (1886:1886:1886) (1858:1858:1858))
+ (PORT sload (1736:1736:1736) (1783:1783:1783))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1236:1236:1236) (1211:1211:1211))
+ (PORT datab (1369:1369:1369) (1309:1309:1309))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1333:1333:1333) (1288:1288:1288))
+ (PORT datab (947:947:947) (956:956:956))
+ (IOPATH dataa combout (461:461:461) (486:486:486))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (455:455:455) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (953:953:953) (953:953:953))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (849:849:849) (836:836:836))
+ (PORT datab (1338:1338:1338) (1272:1272:1272))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (941:941:941) (945:945:945))
+ (PORT datab (1018:1018:1018) (996:996:996))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1008:1008:1008) (996:996:996))
+ (PORT datab (983:983:983) (977:977:977))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (931:931:931) (940:940:940))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1173:1173:1173) (1131:1131:1131))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1234:1234:1234) (1208:1208:1208))
+ (PORT datab (1368:1368:1368) (1308:1308:1308))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1334:1334:1334) (1289:1289:1289))
+ (PORT datab (944:944:944) (952:952:952))
+ (IOPATH dataa combout (453:453:453) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (473:473:473) (489:489:489))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (955:955:955) (955:955:955))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (851:851:851) (838:838:838))
+ (PORT datab (1338:1338:1338) (1271:1271:1271))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (940:940:940) (944:944:944))
+ (PORT datab (1510:1510:1510) (1432:1432:1432))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (953:953:953) (953:953:953))
+ (PORT datab (985:985:985) (979:979:979))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1258:1258:1258) (1232:1232:1232))
+ (PORT datab (933:933:933) (943:943:943))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1176:1176:1176) (1134:1134:1134))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1231:1231:1231) (1194:1194:1194))
+ (PORT datab (851:851:851) (834:834:834))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1233:1233:1233) (1196:1196:1196))
+ (PORT datab (850:850:850) (833:833:833))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (595:595:595) (635:635:635))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1381:1381:1381) (1377:1377:1377))
+ (PORT clrn (1901:1901:1901) (1876:1876:1876))
+ (PORT sload (1666:1666:1666) (1746:1746:1746))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1300:1300:1300) (1221:1221:1221))
+ (PORT clrn (1901:1901:1901) (1876:1876:1876))
+ (PORT sload (1666:1666:1666) (1746:1746:1746))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (919:919:919) (892:892:892))
+ (PORT datab (904:904:904) (878:878:878))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (575:575:575) (609:609:609))
+ (PORT datab (358:358:358) (434:434:434))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (677:677:677) (693:693:693))
+ (PORT datab (846:846:846) (833:833:833))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (602:602:602) (649:649:649))
+ (PORT datab (842:842:842) (831:831:831))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (917:917:917) (891:891:891))
+ (PORT datab (905:905:905) (880:880:880))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (845:845:845) (828:828:828))
+ (PORT datab (376:376:376) (462:462:462))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (594:594:594) (627:627:627))
+ (PORT datab (927:927:927) (883:883:883))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (601:601:601) (647:647:647))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (666:666:666) (678:678:678))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (604:604:604) (651:651:651))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (669:669:669) (681:681:681))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2352:2352:2352) (2207:2207:2207))
+ (PORT clrn (1886:1886:1886) (1858:1858:1858))
+ (PORT sload (1736:1736:1736) (1783:1783:1783))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1305:1305:1305) (1226:1226:1226))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (PORT sload (1220:1220:1220) (1194:1194:1194))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1864:1864:1864))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2250:2250:2250) (2078:2078:2078))
+ (PORT clrn (1893:1893:1893) (1869:1869:1869))
+ (PORT sload (2481:2481:2481) (2619:2619:2619))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1375:1375:1375) (1370:1370:1370))
+ (PORT clrn (1901:1901:1901) (1876:1876:1876))
+ (PORT sload (1666:1666:1666) (1746:1746:1746))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1303:1303:1303) (1224:1224:1224))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (PORT sload (1220:1220:1220) (1194:1194:1194))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (369:369:369) (458:458:458))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (638:638:638) (647:647:647))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (337:337:337) (414:414:414))
+ (PORT datac (393:393:393) (518:518:518))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (919:919:919) (941:941:941))
+ (PORT datab (896:896:896) (909:909:909))
+ (PORT datac (854:854:854) (868:868:868))
+ (PORT datad (873:873:873) (872:872:872))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1838:1838:1838) (1851:1851:1851))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (339:339:339) (423:423:423))
+ (PORT datac (823:823:823) (803:803:803))
+ (PORT datad (1285:1285:1285) (1276:1276:1276))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (401:401:401) (497:497:497))
+ (PORT datab (373:373:373) (461:461:461))
+ (PORT datac (336:336:336) (423:423:423))
+ (PORT datad (338:338:338) (414:414:414))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (473:473:473) (489:489:489))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (344:344:344) (427:427:427))
+ (PORT datac (296:296:296) (375:375:375))
+ (PORT datad (1557:1557:1557) (1492:1492:1492))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (379:379:379) (477:477:477))
+ (PORT datab (376:376:376) (466:466:466))
+ (PORT datac (335:335:335) (423:423:423))
+ (PORT datad (337:337:337) (414:414:414))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT asdata (1604:1604:1604) (1533:1533:1533))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (820:820:820) (765:765:765))
+ (PORT datab (384:384:384) (461:461:461))
+ (PORT datad (282:282:282) (314:314:314))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (445:445:445) (567:567:567))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (443:443:443) (565:565:565))
+ (PORT datac (304:304:304) (388:388:388))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (474:474:474) (461:461:461))
+ (PORT datab (276:276:276) (301:301:301))
+ (PORT datac (887:887:887) (844:844:844))
+ (PORT datad (897:897:897) (844:844:844))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (311:311:311))
+ (PORT datab (770:770:770) (709:709:709))
+ (PORT datac (448:448:448) (421:421:421))
+ (PORT datad (897:897:897) (844:844:844))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (493:493:493) (487:487:487))
+ (PORT datab (950:950:950) (906:906:906))
+ (PORT datac (839:839:839) (803:803:803))
+ (PORT datad (240:240:240) (259:259:259))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (455:455:455) (424:424:424))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (812:812:812) (760:760:760))
+ (PORT datab (278:278:278) (304:304:304))
+ (PORT datac (239:239:239) (266:266:266))
+ (PORT datad (893:893:893) (861:861:861))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (407:407:407) (408:408:408))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (929:929:929) (883:883:883))
+ (PORT datab (561:561:561) (533:533:533))
+ (PORT datac (239:239:239) (266:266:266))
+ (PORT datad (330:330:330) (375:375:375))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (560:560:560) (566:566:566))
+ (PORT datab (507:507:507) (501:501:501))
+ (PORT datac (799:799:799) (799:799:799))
+ (PORT datad (555:555:555) (561:561:561))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (280:280:280) (313:313:313))
+ (PORT datab (279:279:279) (305:305:305))
+ (PORT datac (273:273:273) (304:304:304))
+ (PORT datad (546:546:546) (569:569:569))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (492:492:492) (484:484:484))
+ (PORT datab (276:276:276) (301:301:301))
+ (PORT datac (841:841:841) (805:805:805))
+ (PORT datad (892:892:892) (860:860:860))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (312:312:312))
+ (PORT datab (541:541:541) (499:499:499))
+ (PORT datac (237:237:237) (263:263:263))
+ (PORT datad (891:891:891) (859:859:859))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (929:929:929) (889:889:889))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (486:486:486) (462:462:462))
+ (PORT datad (871:871:871) (851:851:851))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (283:283:283) (314:314:314))
+ (PORT datad (361:361:361) (444:444:444))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1838:1838:1838) (1851:1851:1851))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (439:439:439))
+ (PORT datac (511:511:511) (531:531:531))
+ (PORT datad (1551:1551:1551) (1455:1455:1455))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1621:1621:1621) (1487:1487:1487))
+ (PORT datac (1907:1907:1907) (1804:1804:1804))
+ (PORT datad (1242:1242:1242) (1219:1219:1219))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (339:339:339) (416:416:416))
+ (PORT datac (506:506:506) (533:533:533))
+ (PORT datad (1286:1286:1286) (1277:1277:1277))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1182:1182:1182) (1115:1115:1115))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datac (494:494:494) (468:468:468))
+ (PORT datad (927:927:927) (878:878:878))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1182:1182:1182) (1115:1115:1115))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datac (235:235:235) (261:261:261))
+ (PORT datad (483:483:483) (452:452:452))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (278:278:278) (310:310:310))
+ (PORT datab (983:983:983) (922:922:922))
+ (PORT datac (236:236:236) (263:263:263))
+ (PORT datad (1138:1138:1138) (1063:1063:1063))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (311:311:311))
+ (PORT datab (531:531:531) (494:494:494))
+ (PORT datac (1133:1133:1133) (1049:1049:1049))
+ (PORT datad (240:240:240) (258:258:258))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1026:1026:1026) (1005:1005:1005))
+ (PORT datab (279:279:279) (304:304:304))
+ (PORT datac (865:865:865) (824:824:824))
+ (PORT datad (540:540:540) (535:535:535))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1181:1181:1181) (1114:1114:1114))
+ (PORT datab (275:275:275) (300:300:300))
+ (PORT datac (449:449:449) (436:436:436))
+ (PORT datad (922:922:922) (873:873:873))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (280:280:280) (312:312:312))
+ (PORT datab (545:545:545) (505:505:505))
+ (PORT datac (239:239:239) (266:266:266))
+ (PORT datad (1139:1139:1139) (1064:1064:1064))
+ (IOPATH dataa combout (404:404:404) (398:398:398))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (608:608:608) (591:591:591))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (866:866:866) (825:825:825))
+ (PORT datad (239:239:239) (258:258:258))
+ (IOPATH dataa combout (453:453:453) (418:418:418))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (933:933:933) (874:874:874))
+ (PORT datab (1370:1370:1370) (1310:1310:1310))
+ (PORT datac (1193:1193:1193) (1164:1164:1164))
+ (PORT datad (789:789:789) (738:738:738))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1232:1232:1232) (1206:1206:1206))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datac (1132:1132:1132) (1048:1048:1048))
+ (PORT datad (237:237:237) (255:255:255))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (970:970:970) (962:962:962))
+ (PORT datad (831:831:831) (782:782:782))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (339:339:339) (423:423:423))
+ (PORT datab (337:337:337) (414:414:414))
+ (PORT datad (1557:1557:1557) (1493:1493:1493))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT asdata (1357:1357:1357) (1325:1325:1325))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (389:389:389) (492:492:492))
+ (PORT datab (329:329:329) (371:371:371))
+ (PORT datad (895:895:895) (857:857:857))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1606:1606:1606) (1555:1555:1555))
+ (PORT datab (338:338:338) (415:415:415))
+ (PORT datac (503:503:503) (529:529:529))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (323:323:323) (364:364:364))
+ (PORT datab (542:542:542) (502:502:502))
+ (PORT datac (777:777:777) (718:718:718))
+ (PORT datad (485:485:485) (457:457:457))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (880:880:880) (868:868:868))
+ (PORT datab (817:817:817) (736:736:736))
+ (PORT datac (806:806:806) (799:799:799))
+ (PORT datad (792:792:792) (729:729:729))
+ (IOPATH dataa combout (393:393:393) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (851:851:851) (836:836:836))
+ (PORT datab (899:899:899) (862:862:862))
+ (PORT datac (757:757:757) (686:686:686))
+ (PORT datad (801:801:801) (750:750:750))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (393:393:393) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (784:784:784) (734:734:734))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (741:741:741) (670:670:670))
+ (PORT datad (538:538:538) (553:553:553))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (852:852:852) (837:837:837))
+ (PORT datab (861:861:861) (797:797:797))
+ (PORT datac (695:695:695) (621:621:621))
+ (PORT datad (237:237:237) (255:255:255))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (455:455:455) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (858:858:858) (846:846:846))
+ (PORT datab (475:475:475) (459:459:459))
+ (PORT datac (239:239:239) (265:265:265))
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (478:478:478) (466:466:466))
+ (PORT datab (854:854:854) (780:780:780))
+ (PORT datac (838:838:838) (822:822:822))
+ (PORT datad (237:237:237) (256:256:256))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (1214:1214:1214) (1164:1164:1164))
+ (PORT datad (1117:1117:1117) (1016:1016:1016))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (552:552:552) (589:589:589))
+ (PORT datab (659:659:659) (714:714:714))
+ (PORT datac (881:881:881) (822:822:822))
+ (PORT datad (517:517:517) (503:503:503))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (382:382:382) (468:468:468))
+ (PORT datac (1242:1242:1242) (1187:1187:1187))
+ (PORT datad (474:474:474) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (399:399:399) (525:525:525))
+ (PORT datad (506:506:506) (530:530:530))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (916:916:916) (896:896:896))
+ (PORT datab (651:651:651) (657:657:657))
+ (PORT datac (602:602:602) (631:631:631))
+ (PORT datad (865:865:865) (850:850:850))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (995:995:995) (1006:1006:1006))
+ (PORT datab (372:372:372) (421:421:421))
+ (PORT datac (551:551:551) (568:568:568))
+ (PORT datad (555:555:555) (561:561:561))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1838:1838:1838) (1851:1851:1851))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (438:438:438))
+ (PORT datac (297:297:297) (376:376:376))
+ (PORT datad (1550:1550:1550) (1454:1454:1454))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (995:995:995) (1006:1006:1006))
+ (PORT datab (372:372:372) (421:421:421))
+ (PORT datac (886:886:886) (838:838:838))
+ (PORT datad (510:510:510) (532:532:532))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1322:1322:1322) (1246:1246:1246))
+ (PORT datac (296:296:296) (374:374:374))
+ (PORT datad (1286:1286:1286) (1277:1277:1277))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (390:390:390) (493:493:493))
+ (PORT datab (335:335:335) (411:411:411))
+ (PORT datac (288:288:288) (333:333:333))
+ (PORT datad (893:893:893) (854:854:854))
+ (IOPATH dataa combout (393:393:393) (407:407:407))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (339:339:339) (423:423:423))
+ (PORT datab (336:336:336) (412:412:412))
+ (PORT datad (1562:1562:1562) (1499:1499:1499))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT asdata (1349:1349:1349) (1335:1335:1335))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (389:389:389) (492:492:492))
+ (PORT datab (329:329:329) (371:371:371))
+ (PORT datad (896:896:896) (858:858:858))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1605:1605:1605) (1553:1553:1553))
+ (PORT datab (345:345:345) (429:429:429))
+ (PORT datac (1176:1176:1176) (1130:1130:1130))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1196:1196:1196) (1173:1173:1173))
+ (PORT datac (1161:1161:1161) (1108:1108:1108))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (616:616:616) (624:624:624))
+ (PORT datab (653:653:653) (707:707:707))
+ (PORT datac (874:874:874) (815:815:815))
+ (PORT datad (520:520:520) (509:509:509))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (562:562:562) (558:558:558))
+ (PORT datab (655:655:655) (710:710:710))
+ (PORT datac (877:877:877) (817:817:817))
+ (PORT datad (804:804:804) (780:780:780))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (380:380:380) (477:477:477))
+ (PORT datab (375:375:375) (461:461:461))
+ (PORT datac (326:326:326) (411:411:411))
+ (PORT datad (328:328:328) (401:401:401))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (908:908:908) (885:885:885))
+ (PORT datab (362:362:362) (418:418:418))
+ (PORT datad (470:470:470) (438:438:438))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[7\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1302:1302:1302) (1291:1291:1291))
+ (PORT datad (1258:1258:1258) (1248:1248:1248))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (339:339:339) (423:423:423))
+ (PORT datad (1554:1554:1554) (1458:1458:1458))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[4\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1301:1301:1301) (1265:1265:1265))
+ (PORT datac (1258:1258:1258) (1245:1245:1245))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (997:997:997) (1008:1008:1008))
+ (PORT datab (596:596:596) (603:603:603))
+ (PORT datad (331:331:331) (376:376:376))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1886:1886:1886) (1858:1858:1858))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (337:337:337) (414:414:414))
+ (PORT datad (1287:1287:1287) (1278:1278:1278))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (960:960:960) (939:939:939))
+ (PORT datad (800:800:800) (743:743:743))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (833:833:833) (809:809:809))
+ (PORT datad (800:800:800) (743:743:743))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~24)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (962:962:962) (941:941:941))
+ (PORT datab (275:275:275) (300:300:300))
+ (PORT datac (798:798:798) (752:752:752))
+ (PORT datad (861:861:861) (829:829:829))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~29)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (935:935:935) (904:904:904))
+ (PORT datab (874:874:874) (858:858:858))
+ (PORT datac (896:896:896) (858:858:858))
+ (PORT datad (1151:1151:1151) (1060:1060:1060))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[5\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (995:995:995) (1013:1013:1013))
+ (PORT datac (887:887:887) (883:883:883))
+ (PORT datad (899:899:899) (918:918:918))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (891:891:891) (850:850:850))
+ (PORT datab (533:533:533) (560:560:560))
+ (PORT datac (835:835:835) (835:835:835))
+ (PORT datad (493:493:493) (461:461:461))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1884:1884:1884) (1857:1857:1857))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (921:921:921) (926:926:926))
+ (PORT datad (1564:1564:1564) (1501:1501:1501))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1886:1886:1886) (1858:1858:1858))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (343:343:343) (428:428:428))
+ (PORT datad (1288:1288:1288) (1280:1280:1280))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[7\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1196:1196:1196) (1173:1173:1173))
+ (PORT datad (1217:1217:1217) (1166:1166:1166))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[4\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (1169:1169:1169) (1117:1117:1117))
+ (PORT datad (1215:1215:1215) (1165:1165:1165))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (939:939:939) (865:865:865))
+ (PORT datab (656:656:656) (711:711:711))
+ (PORT datad (520:520:520) (507:507:507))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1289:1289:1289) (1276:1276:1276))
+ (PORT datab (1619:1619:1619) (1485:1485:1485))
+ (PORT datac (1909:1909:1909) (1807:1807:1807))
+ (PORT datad (332:332:332) (411:411:411))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1602:1602:1602) (1523:1523:1523))
+ (PORT datab (1300:1300:1300) (1220:1220:1220))
+ (PORT datad (930:930:930) (935:935:935))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[7\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (958:958:958) (962:962:962))
+ (PORT datac (946:946:946) (959:959:959))
+ (PORT datad (921:921:921) (931:931:931))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (621:621:621) (630:630:630))
+ (PORT datab (1596:1596:1596) (1441:1441:1441))
+ (PORT datac (1264:1264:1264) (1218:1218:1218))
+ (PORT datad (1884:1884:1884) (1758:1758:1758))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1272:1272:1272) (1219:1219:1219))
+ (PORT datac (1887:1887:1887) (1751:1751:1751))
+ (PORT datad (930:930:930) (936:936:936))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (934:934:934) (904:904:904))
+ (PORT datab (874:874:874) (857:857:857))
+ (PORT datac (894:894:894) (856:856:856))
+ (PORT datad (1150:1150:1150) (1059:1059:1059))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~35)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (520:520:520) (511:511:511))
+ (PORT datab (284:284:284) (316:316:316))
+ (PORT datac (900:900:900) (863:863:863))
+ (PORT datad (849:849:849) (811:811:811))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2\~_wirecell)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (2339:2339:2339) (2308:2308:2308))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (392:392:392) (516:516:516))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (486:486:486) (457:457:457))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (867:867:867) (799:799:799))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1091:1091:1091) (994:994:994))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (437:437:437) (414:414:414))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (472:472:472) (452:452:452))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (827:827:827) (782:782:782))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (278:278:278) (303:303:303))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_clk_p\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2838:2838:2838) (2775:2775:2775))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_clk_n\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2810:2810:2810) (2852:2852:2852))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_p\[0\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2848:2848:2848) (2785:2785:2785))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_p\[1\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2848:2848:2848) (2785:2785:2785))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_p\[2\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2848:2848:2848) (2785:2785:2785))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_n\[0\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2838:2838:2838) (2775:2775:2775))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_n\[1\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2858:2858:2858) (2795:2795:2795))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE tmds_data_n\[2\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (2848:2848:2848) (2785:2785:2785))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (347:347:347) (437:437:437))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT sclr (1063:1063:1063) (1234:1234:1234))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (439:439:439))
+ (PORT datad (297:297:297) (367:367:367))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT sclr (1063:1063:1063) (1234:1234:1234))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (346:346:346) (434:434:434))
+ (PORT datac (399:399:399) (525:525:525))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (336:336:336) (412:412:412))
+ (PORT datac (398:398:398) (524:524:524))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (394:394:394) (519:519:519))
+ (PORT datad (297:297:297) (367:367:367))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (341:341:341) (426:426:426))
+ (PORT datac (400:400:400) (526:526:526))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1840:1840:1840) (1852:1852:1852))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_clk\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (806:806:806) (852:852:852))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[1\]\~clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (2339:2339:2339) (2308:2308:2308))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1793:1793:1793) (1777:1777:1777))
+ (PORT D (1126:1126:1126) (1143:1143:1143))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1793:1793:1793) (1777:1777:1777))
+ (PORT d (1221:1221:1221) (1235:1235:1235))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1966:1966:1966) (1972:1972:1972))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1796:1796:1796) (1779:1779:1779))
+ (PORT D (1129:1129:1129) (1217:1217:1217))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1796:1796:1796) (1779:1779:1779))
+ (PORT d (1513:1513:1513) (1629:1629:1629))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1969:1969:1969) (1974:1974:1974))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (576:576:576) (609:609:609))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (629:629:629) (633:633:633))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (888:888:888) (880:880:880))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (915:915:915) (895:895:895))
+ (PORT datab (366:366:366) (424:424:424))
+ (PORT datad (447:447:447) (410:410:410))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_rst_n\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (766:766:766) (812:812:812))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1758:1758:1758) (1828:1828:1828))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (5358:5358:5358) (5170:5170:5170))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE rst_n\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (4488:4488:4488) (4652:4652:4652))
+ (PORT datab (334:334:334) (410:410:410))
+ (PORT datad (1047:1047:1047) (1104:1104:1104))
+ (IOPATH dataa combout (421:421:421) (428:428:428))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE rst_n\~0clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (1464:1464:1464) (1382:1382:1382))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (360:360:360) (436:436:436))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (365:365:365) (444:444:444))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (365:365:365) (447:447:447))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (366:366:366) (446:446:446))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (359:359:359) (436:436:436))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (839:839:839) (833:833:833))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (362:362:362) (446:446:446))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (360:360:360) (436:436:436))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (362:362:362) (446:446:446))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (371:371:371) (461:461:461))
+ (PORT datab (369:369:369) (452:452:452))
+ (PORT datac (328:328:328) (412:412:412))
+ (PORT datad (330:330:330) (407:407:407))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (315:315:315) (352:352:352))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datac (465:465:465) (445:445:445))
+ (PORT datad (489:489:489) (468:468:468))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1364:1364:1364) (1315:1315:1315))
+ (PORT datab (645:645:645) (658:658:658))
+ (PORT datac (603:603:603) (629:629:629))
+ (PORT datad (589:589:589) (619:619:619))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (407:407:407) (408:408:408))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (368:368:368) (451:451:451))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (315:315:315) (353:353:353))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (466:466:466) (446:446:446))
+ (PORT datad (490:490:490) (469:469:469))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (370:370:370) (449:449:449))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (398:398:398) (487:487:487))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[11\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1855:1855:1855) (1870:1870:1870))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (594:594:594) (624:624:624))
+ (PORT datab (366:366:366) (449:449:449))
+ (PORT datac (351:351:351) (436:436:436))
+ (PORT datad (328:328:328) (405:405:405))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1227:1227:1227) (1100:1100:1100))
+ (PORT datac (525:525:525) (500:500:500))
+ (PORT datad (812:812:812) (768:768:768))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (548:548:548) (551:551:551))
+ (PORT datab (279:279:279) (304:304:304))
+ (PORT datad (859:859:859) (802:802:802))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1864:1864:1864) (1880:1880:1880))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1868:1868:1868))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (360:360:360) (436:436:436))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (548:548:548) (551:551:551))
+ (PORT datab (279:279:279) (304:304:304))
+ (PORT datad (859:859:859) (801:801:801))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1864:1864:1864) (1880:1880:1880))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1868:1868:1868))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (631:631:631) (636:636:636))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (913:913:913) (892:892:892))
+ (PORT datab (531:531:531) (494:494:494))
+ (PORT datad (322:322:322) (379:379:379))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (569:569:569) (600:600:600))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (909:909:909) (886:886:886))
+ (PORT datab (476:476:476) (459:459:459))
+ (PORT datad (319:319:319) (375:375:375))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (623:623:623) (631:631:631))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (909:909:909) (887:887:887))
+ (PORT datab (530:530:530) (493:493:493))
+ (PORT datad (319:319:319) (375:375:375))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (945:945:945) (937:937:937))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (914:914:914) (893:893:893))
+ (PORT datab (366:366:366) (423:423:423))
+ (PORT datad (760:760:760) (687:687:687))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (364:364:364) (448:448:448))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[10\]\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (547:547:547) (549:549:549))
+ (PORT datab (482:482:482) (466:466:466))
+ (PORT datad (858:858:858) (801:801:801))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1864:1864:1864) (1880:1880:1880))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1868:1868:1868))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (363:363:363) (440:440:440))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (547:547:547) (550:550:550))
+ (PORT datab (821:821:821) (761:761:761))
+ (PORT datad (858:858:858) (801:801:801))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[11\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1864:1864:1864) (1880:1880:1880))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1868:1868:1868))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (893:893:893) (888:888:888))
+ (PORT datad (911:911:911) (904:904:904))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (616:616:616) (625:625:625))
+ (PORT datab (367:367:367) (447:447:447))
+ (PORT datac (327:327:327) (411:411:411))
+ (PORT datad (328:328:328) (402:402:402))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (856:856:856) (854:854:854))
+ (PORT datab (370:370:370) (454:454:454))
+ (PORT datac (484:484:484) (457:457:457))
+ (PORT datad (273:273:273) (294:294:294))
+ (IOPATH dataa combout (393:393:393) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (281:281:281) (313:313:313))
+ (PORT datab (285:285:285) (316:316:316))
+ (PORT datac (863:863:863) (836:836:836))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (916:916:916) (896:896:896))
+ (PORT datab (367:367:367) (425:425:425))
+ (PORT datad (468:468:468) (436:436:436))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (916:916:916) (896:896:896))
+ (PORT datab (777:777:777) (707:707:707))
+ (PORT datad (324:324:324) (381:381:381))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (377:377:377) (473:473:473))
+ (PORT datab (367:367:367) (451:451:451))
+ (PORT datac (334:334:334) (424:424:424))
+ (PORT datad (328:328:328) (401:401:401))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (393:393:393) (412:412:412))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (368:368:368) (456:456:456))
+ (PORT datab (367:367:367) (448:448:448))
+ (PORT datac (326:326:326) (411:411:411))
+ (PORT datad (327:327:327) (400:400:400))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (851:851:851) (848:848:848))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (476:476:476) (471:471:471))
+ (PORT datad (272:272:272) (292:292:292))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (949:949:949) (951:951:951))
+ (PORT datab (934:934:934) (914:914:914))
+ (PORT datac (890:890:890) (884:884:884))
+ (PORT datad (823:823:823) (809:809:809))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (960:960:960) (894:894:894))
+ (PORT datab (1142:1142:1142) (1092:1092:1092))
+ (PORT datac (1162:1162:1162) (1184:1184:1184))
+ (PORT datad (246:246:246) (267:267:267))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (407:407:407) (408:408:408))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (855:855:855) (821:821:821))
+ (PORT datab (1231:1231:1231) (1104:1104:1104))
+ (PORT datac (523:523:523) (498:498:498))
+ (PORT datad (870:870:870) (805:805:805))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datab combout (432:432:432) (433:433:433))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (574:574:574) (607:607:607))
+ (PORT datab (626:626:626) (630:630:630))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (636:636:636) (641:641:641))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (574:574:574) (607:607:607))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (642:642:642) (655:655:655))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (891:891:891) (882:882:882))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (652:652:652) (665:665:665))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (649:649:649) (664:664:664))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (648:648:648) (667:667:667))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|always0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (966:966:966) (947:947:947))
+ (PORT datab (880:880:880) (864:864:864))
+ (PORT datac (837:837:837) (813:813:813))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (968:968:968) (950:950:950))
+ (PORT datac (838:838:838) (814:814:814))
+ (PORT datad (802:802:802) (745:745:745))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (923:923:923) (897:897:897))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|always0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (895:895:895) (851:851:851))
+ (PORT datab (275:275:275) (300:300:300))
+ (PORT datac (251:251:251) (289:289:289))
+ (PORT datad (859:859:859) (828:828:828))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (882:882:882) (866:866:866))
+ (PORT datac (834:834:834) (810:810:810))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (915:915:915) (895:895:895))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (967:967:967) (948:948:948))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datac (850:850:850) (803:803:803))
+ (PORT datad (859:859:859) (827:827:827))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (938:938:938) (906:906:906))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_x\[11\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (614:614:614) (603:603:603))
+ (PORT datad (797:797:797) (747:747:747))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (503:503:503) (487:487:487))
+ (PORT datab (496:496:496) (489:489:489))
+ (PORT datac (499:499:499) (481:481:481))
+ (PORT datad (290:290:290) (317:317:317))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~17)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (881:881:881) (865:865:865))
+ (PORT datac (836:836:836) (812:812:812))
+ (PORT datad (860:860:860) (828:828:828))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~34)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (619:619:619) (609:609:609))
+ (PORT datab (287:287:287) (319:319:319))
+ (PORT datac (448:448:448) (424:424:424))
+ (PORT datad (814:814:814) (766:766:766))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1383:1383:1383) (1367:1367:1367))
+ (PORT datad (927:927:927) (928:928:928))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (903:903:903) (839:839:839))
+ (PORT datab (946:946:946) (958:958:958))
+ (PORT datac (847:847:847) (797:797:797))
+ (PORT datad (1342:1342:1342) (1316:1316:1316))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (347:347:347) (405:405:405))
+ (PORT datab (1338:1338:1338) (1274:1274:1274))
+ (PORT datac (976:976:976) (955:955:955))
+ (PORT datad (896:896:896) (880:880:880))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (864:864:864) (841:841:841))
+ (PORT datab (873:873:873) (856:856:856))
+ (PORT datac (898:898:898) (861:861:861))
+ (PORT datad (850:850:850) (812:812:812))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (864:864:864) (841:841:841))
+ (PORT datab (873:873:873) (856:856:856))
+ (PORT datac (899:899:899) (862:862:862))
+ (PORT datad (864:864:864) (845:845:845))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|always0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (864:864:864) (841:841:841))
+ (PORT datab (284:284:284) (316:316:316))
+ (PORT datac (900:900:900) (862:862:862))
+ (PORT datad (849:849:849) (811:811:811))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (935:935:935) (904:904:904))
+ (PORT datab (873:873:873) (857:857:857))
+ (PORT datad (1151:1151:1151) (1061:1061:1061))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (935:935:935) (904:904:904))
+ (PORT datab (286:286:286) (318:318:318))
+ (PORT datac (254:254:254) (291:291:291))
+ (PORT datad (256:256:256) (284:284:284))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (294:294:294) (336:336:336))
+ (PORT datab (286:286:286) (314:314:314))
+ (PORT datac (716:716:716) (654:654:654))
+ (PORT datad (290:290:290) (317:317:317))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1913:1913:1913) (1887:1887:1887))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~19)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (288:288:288) (324:324:324))
+ (PORT datab (293:293:293) (324:324:324))
+ (PORT datac (250:250:250) (286:286:286))
+ (PORT datad (841:841:841) (779:779:779))
+ (IOPATH dataa combout (453:453:453) (472:472:472))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (295:295:295) (336:336:336))
+ (PORT datac (720:720:720) (653:653:653))
+ (PORT datad (246:246:246) (272:272:272))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1913:1913:1913) (1887:1887:1887))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (860:860:860) (833:833:833))
+ (PORT datab (306:306:306) (331:331:331))
+ (PORT datac (833:833:833) (816:816:816))
+ (PORT datad (558:558:558) (577:577:577))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan4\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (642:642:642) (655:655:655))
+ (PORT datac (600:600:600) (626:626:626))
+ (PORT datad (854:854:854) (842:842:842))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (997:997:997) (987:987:987))
+ (PORT datab (948:948:948) (961:961:961))
+ (PORT datac (846:846:846) (796:796:796))
+ (PORT datad (1343:1343:1343) (1318:1318:1318))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (960:960:960) (894:894:894))
+ (PORT datac (1160:1160:1160) (1182:1182:1182))
+ (PORT datad (246:246:246) (267:267:267))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[2\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (944:944:944) (941:941:941))
+ (PORT datab (934:934:934) (895:895:895))
+ (PORT datac (811:811:811) (778:778:778))
+ (PORT datad (308:308:308) (355:355:355))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1304:1304:1304) (1292:1292:1292))
+ (PORT datab (1321:1321:1321) (1297:1297:1297))
+ (PORT datac (1237:1237:1237) (1216:1216:1216))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1297:1297:1297) (1284:1284:1284))
+ (PORT datad (1254:1254:1254) (1242:1242:1242))
+ (IOPATH dataa combout (421:421:421) (428:428:428))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1304:1304:1304) (1293:1293:1293))
+ (PORT datab (1321:1321:1321) (1298:1298:1298))
+ (PORT datac (1238:1238:1238) (1217:1217:1217))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (921:921:921) (944:944:944))
+ (PORT datab (895:895:895) (907:907:907))
+ (PORT datac (856:856:856) (870:870:870))
+ (PORT datad (870:870:870) (869:869:869))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (240:240:240) (259:259:259))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (992:992:992) (1003:1003:1003))
+ (PORT datab (872:872:872) (850:850:850))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (907:907:907) (901:901:901))
+ (PORT datab (367:367:367) (448:448:448))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (993:993:993) (1004:1004:1004))
+ (PORT datab (596:596:596) (604:604:604))
+ (PORT datad (332:332:332) (378:378:378))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1298:1298:1298) (1285:1285:1285))
+ (PORT datab (1316:1316:1316) (1291:1291:1291))
+ (PORT datac (1233:1233:1233) (1210:1210:1210))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (929:929:929) (883:883:883))
+ (PORT datab (565:565:565) (538:538:538))
+ (PORT datac (272:272:272) (303:303:303))
+ (PORT datad (565:565:565) (583:583:583))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (561:561:561) (568:568:568))
+ (PORT datab (277:277:277) (303:303:303))
+ (PORT datac (236:236:236) (262:262:262))
+ (PORT datad (551:551:551) (570:570:570))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[1\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (943:943:943) (940:940:940))
+ (PORT datab (884:884:884) (854:854:854))
+ (PORT datac (814:814:814) (782:782:782))
+ (PORT datad (306:306:306) (354:354:354))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1877:1877:1877))
+ (PORT asdata (1422:1422:1422) (1409:1409:1409))
+ (PORT clrn (1907:1907:1907) (1882:1882:1882))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (919:919:919) (941:941:941))
+ (PORT datab (897:897:897) (909:909:909))
+ (PORT datac (853:853:853) (867:867:867))
+ (PORT datad (874:874:874) (873:873:873))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (775:775:775) (708:708:708))
+ (PORT datad (871:871:871) (856:856:856))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (544:544:544) (509:509:509))
+ (PORT datab (278:278:278) (304:304:304))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (490:490:490) (472:472:472))
+ (PORT datab (475:475:475) (459:459:459))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (942:942:942) (939:939:939))
+ (PORT datac (816:816:816) (785:785:785))
+ (PORT datad (305:305:305) (352:352:352))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg1)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (1106:1106:1106) (1054:1054:1054))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1862:1862:1862) (1877:1877:1877))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1906:1906:1906) (1881:1881:1881))
+ (PORT sclr (2625:2625:2625) (2815:2815:2815))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (351:351:351) (440:440:440))
+ (PORT datac (885:885:885) (842:842:842))
+ (PORT datad (559:559:559) (589:589:589))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (776:776:776) (713:713:713))
+ (PORT datab (515:515:515) (505:505:505))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1862:1862:1862) (1877:1877:1877))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1906:1906:1906) (1881:1881:1881))
+ (PORT sclr (2625:2625:2625) (2815:2815:2815))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (368:368:368) (458:458:458))
+ (PORT datab (391:391:391) (474:474:474))
+ (PORT datac (325:325:325) (409:409:409))
+ (PORT datad (318:318:318) (388:388:388))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (403:403:403) (496:496:496))
+ (PORT datab (285:285:285) (316:316:316))
+ (PORT datac (775:775:775) (709:709:709))
+ (PORT datad (871:871:871) (856:856:856))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (393:393:393) (477:477:477))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (590:590:590) (623:623:623))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (578:578:578) (576:576:576))
+ (PORT datab (304:304:304) (328:328:328))
+ (PORT datac (236:236:236) (263:263:263))
+ (PORT datad (482:482:482) (470:470:470))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1254:1254:1254) (1242:1242:1242))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (278:278:278) (309:309:309))
+ (PORT datab (595:595:595) (603:603:603))
+ (PORT datac (853:853:853) (843:843:843))
+ (PORT datad (951:951:951) (954:954:954))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (882:882:882) (850:850:850))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (238:238:238) (265:265:265))
+ (PORT datad (890:890:890) (858:858:858))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (484:484:484) (470:470:470))
+ (PORT datab (762:762:762) (703:703:703))
+ (PORT datac (886:886:886) (843:843:843))
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (539:539:539) (500:500:500))
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1862:1862:1862) (1877:1877:1877))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1906:1906:1906) (1881:1881:1881))
+ (PORT sclr (2625:2625:2625) (2815:2815:2815))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (773:773:773) (712:712:712))
+ (PORT datab (773:773:773) (713:713:713))
+ (PORT datac (853:853:853) (842:842:842))
+ (PORT datad (589:589:589) (623:623:623))
+ (IOPATH dataa combout (404:404:404) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT asdata (1998:1998:1998) (1896:1896:1896))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (865:865:865) (818:818:818))
+ (PORT datab (581:581:581) (540:540:540))
+ (PORT datad (321:321:321) (391:391:391))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (462:462:462))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (799:799:799) (752:752:752))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (311:311:311))
+ (PORT datab (893:893:893) (884:884:884))
+ (PORT datac (606:606:606) (632:632:632))
+ (PORT datad (592:592:592) (622:622:622))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg1)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1899:1899:1899) (1874:1874:1874))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1869:1869:1869))
+ (PORT asdata (760:760:760) (829:829:829))
+ (PORT clrn (1899:1899:1899) (1874:1874:1874))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2374:2374:2374) (2262:2262:2262))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (PORT sload (2028:2028:2028) (2102:2102:2102))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1320:1320:1320) (1296:1296:1296))
+ (PORT datac (1236:1236:1236) (1215:1215:1215))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1865:1865:1865))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1894:1894:1894) (1870:1870:1870))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (995:995:995) (1006:1006:1006))
+ (PORT datab (371:371:371) (420:420:420))
+ (PORT datac (886:886:886) (837:837:837))
+ (PORT datad (510:510:510) (531:531:531))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (856:856:856) (794:794:794))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2375:2375:2375) (2264:2264:2264))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (PORT sload (2028:2028:2028) (2102:2102:2102))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (340:340:340) (424:424:424))
+ (PORT datab (337:337:337) (414:414:414))
+ (PORT datad (1552:1552:1552) (1457:1457:1457))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1838:1838:1838) (1851:1851:1851))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1598:1598:1598) (1511:1511:1511))
+ (PORT datab (339:339:339) (416:416:416))
+ (PORT datad (297:297:297) (367:367:367))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1838:1838:1838) (1851:1851:1851))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1849:1849:1849) (1864:1864:1864))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2723:2723:2723) (2568:2568:2568))
+ (PORT clrn (1893:1893:1893) (1869:1869:1869))
+ (PORT sload (2481:2481:2481) (2619:2619:2619))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (341:341:341) (425:425:425))
+ (PORT datac (1221:1221:1221) (1162:1162:1162))
+ (PORT datad (1288:1288:1288) (1279:1279:1279))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1796:1796:1796) (1779:1779:1779))
+ (PORT D (1410:1410:1410) (1366:1366:1366))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1796:1796:1796) (1779:1779:1779))
+ (PORT d (1744:1744:1744) (1663:1663:1663))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1969:1969:1969) (1974:1974:1974))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~30)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (864:864:864) (841:841:841))
+ (PORT datab (854:854:854) (792:792:792))
+ (PORT datac (902:902:902) (865:865:865))
+ (PORT datad (848:848:848) (810:810:810))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (278:278:278) (310:310:310))
+ (PORT datab (855:855:855) (794:794:794))
+ (PORT datac (821:821:821) (794:794:794))
+ (PORT datad (851:851:851) (814:814:814))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~31)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (311:311:311))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datad (245:245:245) (270:270:270))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1870:1870:1870) (1884:1884:1884))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1914:1914:1914) (1888:1888:1888))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[6\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (943:943:943) (941:941:941))
+ (PORT datab (819:819:819) (794:794:794))
+ (PORT datac (813:813:813) (781:781:781))
+ (PORT datad (307:307:307) (354:354:354))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_x\[10\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (967:967:967) (949:949:949))
+ (PORT datac (850:850:850) (803:803:803))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~23)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (485:485:485) (472:472:472))
+ (PORT datab (881:881:881) (864:864:864))
+ (PORT datac (837:837:837) (813:813:813))
+ (PORT datad (859:859:859) (827:827:827))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan10\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (960:960:960) (938:938:938))
+ (PORT datab (882:882:882) (866:866:866))
+ (PORT datac (256:256:256) (294:294:294))
+ (PORT datad (861:861:861) (830:830:830))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~25)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (280:280:280) (312:312:312))
+ (PORT datab (275:275:275) (300:300:300))
+ (PORT datac (262:262:262) (287:287:287))
+ (PORT datad (265:265:265) (283:283:283))
+ (IOPATH dataa combout (392:392:392) (407:407:407))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[9\]\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (962:962:962) (942:942:942))
+ (PORT datad (839:839:839) (822:822:822))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[9\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (296:296:296) (338:338:338))
+ (PORT datab (921:921:921) (876:876:876))
+ (PORT datac (853:853:853) (806:806:806))
+ (PORT datad (237:237:237) (255:255:255))
+ (IOPATH dataa combout (404:404:404) (398:398:398))
+ (IOPATH datab combout (435:435:435) (424:424:424))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~36)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (617:617:617) (607:607:607))
+ (PORT datab (495:495:495) (487:487:487))
+ (PORT datac (820:820:820) (739:739:739))
+ (PORT datad (795:795:795) (744:744:744))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~21)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (934:934:934) (904:904:904))
+ (PORT datab (286:286:286) (318:318:318))
+ (PORT datac (255:255:255) (291:291:291))
+ (PORT datad (256:256:256) (284:284:284))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~28)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (748:748:748) (687:687:687))
+ (PORT datab (539:539:539) (506:506:506))
+ (PORT datac (244:244:244) (275:275:275))
+ (PORT datad (787:787:787) (708:708:708))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1913:1913:1913) (1887:1887:1887))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (942:942:942) (938:938:938))
+ (PORT datab (833:833:833) (827:827:827))
+ (PORT datac (820:820:820) (790:790:790))
+ (PORT datad (303:303:303) (350:350:350))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~26)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (745:745:745) (684:684:684))
+ (PORT datab (538:538:538) (505:505:505))
+ (PORT datac (243:243:243) (274:274:274))
+ (PORT datad (789:789:789) (710:710:710))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~27)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (503:503:503) (488:488:488))
+ (PORT datab (495:495:495) (487:487:487))
+ (PORT datac (235:235:235) (261:261:261))
+ (PORT datad (291:291:291) (318:318:318))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1913:1913:1913) (1887:1887:1887))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[10\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (943:943:943) (940:940:940))
+ (PORT datab (602:602:602) (612:612:612))
+ (PORT datac (815:815:815) (783:783:783))
+ (PORT datad (306:306:306) (353:353:353))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (993:993:993) (1012:1012:1012))
+ (PORT datab (958:958:958) (963:963:963))
+ (PORT datac (891:891:891) (886:886:886))
+ (PORT datad (921:921:921) (931:931:931))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (996:996:996) (1015:1015:1015))
+ (PORT datab (963:963:963) (968:968:968))
+ (PORT datac (884:884:884) (879:879:879))
+ (PORT datad (922:922:922) (932:932:932))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (996:996:996) (1015:1015:1015))
+ (PORT datab (962:962:962) (967:967:967))
+ (PORT datac (885:885:885) (880:880:880))
+ (PORT datad (922:922:922) (932:932:932))
+ (IOPATH dataa combout (438:438:438) (448:448:448))
+ (IOPATH datab combout (440:440:440) (462:462:462))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (404:404:404) (501:501:501))
+ (PORT datab (378:378:378) (468:468:468))
+ (PORT datac (333:333:333) (420:420:420))
+ (PORT datad (335:335:335) (412:412:412))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (432:432:432) (433:433:433))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (401:401:401) (498:498:498))
+ (PORT datab (373:373:373) (461:461:461))
+ (PORT datac (336:336:336) (424:424:424))
+ (PORT datad (338:338:338) (415:415:415))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (994:994:994) (1013:1013:1013))
+ (PORT datab (960:960:960) (964:964:964))
+ (PORT datac (888:888:888) (884:884:884))
+ (PORT datad (922:922:922) (931:931:931))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (973:973:973) (983:983:983))
+ (PORT datab (276:276:276) (301:301:301))
+ (PORT datac (236:236:236) (262:262:262))
+ (PORT datad (320:320:320) (390:390:390))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add5\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (559:559:559) (592:592:592))
+ (PORT datab (602:602:602) (612:612:612))
+ (PORT datac (237:237:237) (263:263:263))
+ (PORT datad (776:776:776) (756:756:756))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT asdata (1416:1416:1416) (1422:1422:1422))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (948:948:948) (963:963:963))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (892:892:892) (851:851:851))
+ (PORT datab (874:874:874) (870:870:870))
+ (PORT datad (492:492:492) (461:461:461))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1851:1851:1851) (1866:1866:1866))
+ (PORT asdata (1863:1863:1863) (1834:1834:1834))
+ (PORT clrn (1895:1895:1895) (1871:1871:1871))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1175:1175:1175) (1094:1094:1094))
+ (PORT datab (1340:1340:1340) (1274:1274:1274))
+ (PORT datad (809:809:809) (785:785:785))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (596:596:596) (635:635:635))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (597:597:597) (631:631:631))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (549:549:549) (578:578:578))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (597:597:597) (632:632:632))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (550:550:550) (580:580:580))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (607:607:607) (589:589:589))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (876:876:876) (813:813:813))
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (959:959:959) (964:964:964))
+ (PORT datac (947:947:947) (961:961:961))
+ (PORT datad (922:922:922) (931:931:931))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1900:1900:1900) (1875:1875:1875))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (282:282:282) (315:315:315))
+ (PORT datab (959:959:959) (942:942:942))
+ (PORT datac (873:873:873) (833:833:833))
+ (PORT datad (239:239:239) (258:258:258))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (874:874:874) (835:835:835))
+ (PORT datab (897:897:897) (836:836:836))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (895:895:895) (830:830:830))
+ (PORT datab (877:877:877) (816:816:816))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (769:769:769) (705:705:705))
+ (PORT datab (545:545:545) (510:510:510))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (737:737:737) (667:667:667))
+ (PORT datab (485:485:485) (466:466:466))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (861:861:861) (819:819:819))
+ (PORT datad (445:445:445) (419:419:419))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1884:1884:1884) (1857:1857:1857))
+ (PORT sclr (1881:1881:1881) (1989:1989:1989))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1884:1884:1884) (1857:1857:1857))
+ (PORT sclr (1881:1881:1881) (1989:1989:1989))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (361:361:361) (444:444:444))
+ (PORT datab (360:360:360) (436:436:436))
+ (PORT datac (318:318:318) (396:396:396))
+ (PORT datad (319:319:319) (389:389:389))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (287:287:287) (315:315:315))
+ (PORT datad (328:328:328) (405:405:405))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (310:310:310))
+ (PORT datab (277:277:277) (302:302:302))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1884:1884:1884) (1857:1857:1857))
+ (PORT sclr (1881:1881:1881) (1989:1989:1989))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (280:280:280) (313:313:313))
+ (PORT datab (986:986:986) (926:926:926))
+ (PORT datac (491:491:491) (465:465:465))
+ (PORT datad (1138:1138:1138) (1063:1063:1063))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (311:311:311))
+ (PORT datab (275:275:275) (300:300:300))
+ (PORT datac (445:445:445) (428:428:428))
+ (PORT datad (1139:1139:1139) (1063:1063:1063))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1884:1884:1884) (1857:1857:1857))
+ (PORT sclr (1881:1881:1881) (1989:1989:1989))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (602:602:602) (583:583:583))
+ (PORT datab (1701:1701:1701) (1612:1612:1612))
+ (PORT datac (873:873:873) (832:832:832))
+ (PORT datad (237:237:237) (255:255:255))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (927:927:927) (872:872:872))
+ (PORT datab (279:279:279) (304:304:304))
+ (PORT datac (236:236:236) (262:262:262))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1869:1869:1869))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1884:1884:1884) (1857:1857:1857))
+ (PORT sclr (1881:1881:1881) (1989:1989:1989))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (899:899:899) (839:839:839))
+ (PORT datab (368:368:368) (447:447:447))
+ (PORT datac (968:968:968) (960:960:960))
+ (PORT datad (243:243:243) (267:267:267))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (432:432:432) (433:433:433))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (389:389:389) (493:493:493))
+ (PORT datab (330:330:330) (371:371:371))
+ (PORT datad (896:896:896) (858:858:858))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (465:465:465) (432:432:432))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1383:1383:1383) (1380:1380:1380))
+ (PORT clrn (1901:1901:1901) (1876:1876:1876))
+ (PORT sload (1666:1666:1666) (1746:1746:1746))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (340:340:340) (424:424:424))
+ (PORT datab (346:346:346) (430:430:430))
+ (PORT datad (1560:1560:1560) (1496:1496:1496))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1606:1606:1606) (1554:1554:1554))
+ (PORT datab (346:346:346) (430:430:430))
+ (PORT datac (506:506:506) (529:529:529))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1856:1856:1856) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1383:1383:1383) (1379:1379:1379))
+ (PORT clrn (1901:1901:1901) (1876:1876:1876))
+ (PORT sload (1666:1666:1666) (1746:1746:1746))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (341:341:341) (426:426:426))
+ (PORT datab (340:340:340) (418:418:418))
+ (PORT datad (1564:1564:1564) (1501:1501:1501))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1798:1798:1798) (1784:1784:1784))
+ (PORT D (1468:1468:1468) (1420:1420:1420))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1798:1798:1798) (1784:1784:1784))
+ (PORT d (1570:1570:1570) (1533:1533:1533))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1971:1971:1971) (1979:1979:1979))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1192:1192:1192) (1083:1083:1083))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1854:1854:1854) (1871:1871:1871))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (2352:2352:2352) (2206:2206:2206))
+ (PORT clrn (1886:1886:1886) (1858:1858:1858))
+ (PORT sload (1736:1736:1736) (1783:1783:1783))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (380:380:380) (476:476:476))
+ (PORT datab (285:285:285) (316:316:316))
+ (PORT datac (339:339:339) (429:429:429))
+ (PORT datad (580:580:580) (623:623:623))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg1)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1863:1863:1863) (1879:1879:1879))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1895:1895:1895) (1867:1867:1867))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2\~feeder)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (872:872:872) (857:857:857))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1193:1193:1193) (1107:1107:1107))
+ (PORT datab (374:374:374) (455:455:455))
+ (PORT datac (1909:1909:1909) (1807:1807:1807))
+ (PORT datad (1247:1247:1247) (1225:1225:1225))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1852:1852:1852) (1868:1868:1868))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1883:1883:1883) (1856:1856:1856))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1349:1349:1349) (1328:1328:1328))
+ (PORT datad (832:832:832) (799:799:799))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (439:439:439))
+ (PORT datab (1347:1347:1347) (1326:1326:1326))
+ (PORT datad (297:297:297) (367:367:367))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (439:439:439))
+ (PORT datab (337:337:337) (413:413:413))
+ (PORT datad (1286:1286:1286) (1277:1277:1277))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (983:983:983) (961:961:961))
+ (PORT datab (337:337:337) (414:414:414))
+ (PORT datad (1288:1288:1288) (1280:1280:1280))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (338:338:338) (416:416:416))
+ (PORT datac (298:298:298) (376:376:376))
+ (PORT datad (1285:1285:1285) (1276:1276:1276))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1841:1841:1841) (1854:1854:1854))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~37)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (616:616:616) (606:606:606))
+ (PORT datab (285:285:285) (316:316:316))
+ (PORT datac (765:765:765) (693:693:693))
+ (PORT datad (816:816:816) (768:768:768))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[13\]\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (614:614:614) (602:602:602))
+ (PORT datac (498:498:498) (479:479:479))
+ (PORT datad (798:798:798) (748:748:748))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~33)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (284:284:284) (315:315:315))
+ (PORT datac (718:718:718) (651:651:651))
+ (PORT datad (254:254:254) (286:286:286))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[13\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1913:1913:1913) (1887:1887:1887))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~32)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (555:555:555) (523:523:523))
+ (PORT datab (284:284:284) (315:315:315))
+ (PORT datac (719:719:719) (657:657:657))
+ (PORT datad (291:291:291) (318:318:318))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[15\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1913:1913:1913) (1887:1887:1887))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (572:572:572) (536:536:536))
+ (PORT datab (549:549:549) (581:581:581))
+ (PORT datac (818:818:818) (787:787:787))
+ (PORT datad (542:542:542) (561:561:561))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (1153:1153:1153) (1118:1118:1118))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[13\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (942:942:942) (939:939:939))
+ (PORT datab (548:548:548) (580:580:580))
+ (PORT datac (817:817:817) (786:786:786))
+ (PORT datad (305:305:305) (352:352:352))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[12\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (944:944:944) (941:941:941))
+ (PORT datab (600:600:600) (608:608:608))
+ (PORT datac (811:811:811) (779:779:779))
+ (PORT datad (307:307:307) (355:355:355))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1868:1868:1868) (1882:1882:1882))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1912:1912:1912) (1886:1886:1886))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1196:1196:1196) (1173:1173:1173))
+ (PORT datac (1166:1166:1166) (1114:1114:1114))
+ (PORT datad (1215:1215:1215) (1164:1164:1164))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1197:1197:1197) (1174:1174:1174))
+ (PORT datad (1216:1216:1216) (1166:1166:1166))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1197:1197:1197) (1173:1173:1173))
+ (PORT datac (1164:1164:1164) (1111:1111:1111))
+ (PORT datad (1216:1216:1216) (1166:1166:1166))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (381:381:381) (479:479:479))
+ (PORT datab (378:378:378) (469:469:469))
+ (PORT datac (335:335:335) (423:423:423))
+ (PORT datad (337:337:337) (414:414:414))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (452:452:452) (426:426:426))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (379:379:379) (476:476:476))
+ (PORT datab (376:376:376) (466:466:466))
+ (PORT datac (335:335:335) (422:422:422))
+ (PORT datad (337:337:337) (413:413:413))
+ (IOPATH dataa combout (461:461:461) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (851:851:851) (817:817:817))
+ (PORT datab (1176:1176:1176) (1064:1064:1064))
+ (PORT datac (1213:1213:1213) (1162:1162:1162))
+ (PORT datad (951:951:951) (941:941:941))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT asdata (1600:1600:1600) (1534:1534:1534))
+ (PORT clrn (1903:1903:1903) (1878:1878:1878))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (668:668:668) (679:679:679))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1197:1197:1197) (1174:1174:1174))
+ (PORT datac (1167:1167:1167) (1116:1116:1116))
+ (PORT datad (1215:1215:1215) (1165:1165:1165))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (858:858:858) (843:843:843))
+ (PORT datab (901:901:901) (871:871:871))
+ (IOPATH dataa combout (453:453:453) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (473:473:473) (489:489:489))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (888:888:888) (874:874:874))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (857:857:857) (844:844:844))
+ (PORT datab (856:856:856) (790:790:790))
+ (PORT datac (779:779:779) (693:693:693))
+ (PORT datad (239:239:239) (258:258:258))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (455:455:455) (473:473:473))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (846:846:846) (829:829:829))
+ (PORT datab (855:855:855) (781:781:781))
+ (PORT datad (336:336:336) (420:420:420))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (679:679:679) (695:695:695))
+ (PORT datab (647:647:647) (653:653:653))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (798:798:798) (735:735:735))
+ (PORT datab (821:821:821) (811:811:811))
+ (PORT datac (236:236:236) (262:262:262))
+ (PORT datad (743:743:743) (682:682:682))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (676:676:676) (692:692:692))
+ (PORT datab (652:652:652) (659:659:659))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (947:947:947) (902:902:902))
+ (PORT datab (276:276:276) (301:301:301))
+ (PORT datac (791:791:791) (712:712:712))
+ (PORT datad (241:241:241) (260:260:260))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (488:488:488) (478:478:478))
+ (PORT datab (276:276:276) (301:301:301))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (556:556:556) (518:518:518))
+ (PORT datab (474:474:474) (457:457:457))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1859:1859:1859) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1878:1878:1878))
+ (PORT sclr (1490:1490:1490) (1582:1582:1582))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (360:360:360) (443:443:443))
+ (PORT datab (374:374:374) (460:460:460))
+ (PORT datac (342:342:342) (420:420:420))
+ (PORT datad (327:327:327) (401:401:401))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (808:808:808) (771:771:771))
+ (PORT datad (952:952:952) (941:941:941))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (896:896:896) (836:836:836))
+ (PORT datab (919:919:919) (844:844:844))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1859:1859:1859) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1878:1878:1878))
+ (PORT sclr (1490:1490:1490) (1582:1582:1582))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (935:935:935) (884:884:884))
+ (PORT datab (584:584:584) (604:604:604))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (856:856:856) (840:840:840))
+ (PORT datab (903:903:903) (873:873:873))
+ (IOPATH dataa combout (461:461:461) (486:486:486))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (455:455:455) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (855:855:855) (841:841:841))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (236:236:236) (262:262:262))
+ (PORT datad (798:798:798) (746:746:746))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (540:540:540) (501:501:501))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (824:824:824) (770:770:770))
+ (PORT datad (445:445:445) (418:418:418))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (756:756:756) (689:689:689))
+ (PORT datab (276:276:276) (301:301:301))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1859:1859:1859) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1878:1878:1878))
+ (PORT sclr (1490:1490:1490) (1582:1582:1582))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (848:848:848) (844:844:844))
+ (PORT datab (385:385:385) (462:462:462))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (888:888:888) (874:874:874))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (854:854:854) (840:840:840))
+ (PORT datab (276:276:276) (301:301:301))
+ (PORT datac (482:482:482) (457:457:457))
+ (PORT datad (238:238:238) (256:256:256))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (280:280:280) (312:312:312))
+ (PORT datab (540:540:540) (503:503:503))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1859:1859:1859) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1878:1878:1878))
+ (PORT sclr (1490:1490:1490) (1582:1582:1582))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (879:879:879) (849:849:849))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (878:878:878) (847:847:847))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (325:325:325) (366:366:366))
+ (PORT datab (486:486:486) (467:467:467))
+ (PORT datac (777:777:777) (718:718:718))
+ (PORT datad (454:454:454) (434:434:434))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (330:330:330) (404:404:404))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (879:879:879) (848:848:848))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~6)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (851:851:851) (837:837:837))
+ (PORT datab (750:750:750) (695:695:695))
+ (PORT datac (442:442:442) (422:422:422))
+ (PORT datad (803:803:803) (751:751:751))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (856:856:856) (843:843:843))
+ (PORT datab (529:529:529) (491:491:491))
+ (PORT datac (236:236:236) (262:262:262))
+ (PORT datad (238:238:238) (257:257:257))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (798:798:798) (716:716:716))
+ (PORT datad (481:481:481) (449:449:449))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1859:1859:1859) (1873:1873:1873))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1903:1903:1903) (1878:1878:1878))
+ (PORT sclr (1490:1490:1490) (1582:1582:1582))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sclr (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (310:310:310))
+ (PORT datab (275:275:275) (300:300:300))
+ (PORT datac (509:509:509) (537:537:537))
+ (PORT datad (838:838:838) (798:798:798))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (407:407:407) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (942:942:942) (869:869:869))
+ (PORT datab (656:656:656) (711:711:711))
+ (PORT datad (516:516:516) (502:502:502))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT asdata (1708:1708:1708) (1644:1644:1644))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (PORT sload (1220:1220:1220) (1194:1194:1194))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ (HOLD sload (posedge clk) (212:212:212))
+ (HOLD asdata (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (380:380:380) (466:466:466))
+ (PORT datac (1237:1237:1237) (1181:1181:1181))
+ (PORT datad (613:613:613) (666:666:666))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1858:1858:1858) (1872:1872:1872))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1902:1902:1902) (1877:1877:1877))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (336:336:336) (412:412:412))
+ (PORT datad (1244:1244:1244) (1209:1209:1209))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (341:341:341) (425:425:425))
+ (PORT datac (298:298:298) (376:376:376))
+ (PORT datad (1250:1250:1250) (1216:1216:1216))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (340:340:340) (424:424:424))
+ (PORT datac (296:296:296) (375:375:375))
+ (PORT datad (1247:1247:1247) (1212:1212:1212))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (339:339:339) (423:423:423))
+ (PORT datab (338:338:338) (415:415:415))
+ (PORT datad (1251:1251:1251) (1217:1217:1217))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (337:337:337) (413:413:413))
+ (PORT datac (512:512:512) (532:532:532))
+ (PORT datad (1251:1251:1251) (1218:1218:1218))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1800:1800:1800) (1786:1786:1786))
+ (PORT D (1434:1434:1434) (1393:1393:1393))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1800:1800:1800) (1786:1786:1786))
+ (PORT d (1925:1925:1925) (1834:1834:1834))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1973:1973:1973) (1981:1981:1981))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1796:1796:1796) (1779:1779:1779))
+ (PORT D (1319:1319:1319) (1457:1457:1457))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1796:1796:1796) (1779:1779:1779))
+ (PORT d (1605:1605:1605) (1802:1802:1802))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1969:1969:1969) (1974:1974:1974))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1798:1798:1798) (1784:1784:1784))
+ (PORT D (1373:1373:1373) (1515:1515:1515))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1798:1798:1798) (1784:1784:1784))
+ (PORT d (1475:1475:1475) (1628:1628:1628))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1971:1971:1971) (1979:1979:1979))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_latch")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi)
+ (DELAY
+ (ABSOLUTE
+ (PORT ENA (1800:1800:1800) (1786:1786:1786))
+ (PORT D (1346:1346:1346) (1481:1481:1481))
+ (IOPATH (negedge ENA) Q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP D (negedge ENA) (565:565:565))
+ (HOLD D (negedge ENA) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1800:1800:1800) (1786:1786:1786))
+ (PORT d (1776:1776:1776) (1983:1983:1983))
+ (IOPATH (posedge clk) q (234:234:234) (234:234:234))
+ )
+ )
+ (TIMINGCHECK
+ (SETUP d (posedge clk) (109:109:109))
+ (HOLD d (posedge clk) (126:126:126))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_mux21")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux)
+ (DELAY
+ (ABSOLUTE
+ (PORT A (0:0:0) (0:0:0))
+ (PORT B (0:0:0) (0:0:0))
+ (PORT S (1973:1973:1973) (1981:1981:1981))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_routing_wire")
+ (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH datain dataout (548:548:548) (549:549:549))
+ )
+ )
+ (DELAY
+ (PATHPULSE datain dataout (548:548:548))
+ )
+ )
+)
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/PLLJ_PLLSPE_INFO.txt b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/PLLJ_PLLSPE_INFO.txt
index 790cae7..dd9735f 100644
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/PLLJ_PLLSPE_INFO.txt
+++ b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/PLLJ_PLLSPE_INFO.txt
@@ -1,5 +1,5 @@
-PLL_Name clk_gen:clk_gen_inst|altpll:altpll_component|clk_gen_altpll:auto_generated|pll1
-PLLJITTER 30
-PLLSPEmax 84
-PLLSPEmin -53
-
+PLL_Name clk_gen:clk_gen_inst|altpll:altpll_component|clk_gen_altpll:auto_generated|pll1
+PLLJITTER 30
+PLLSPEmax 84
+PLLSPEmin -53
+
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.sft b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.sft
index 57ef981..3df98f6 100644
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.sft
+++ b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.sft
@@ -1,6 +1,6 @@
-set tool_name "ModelSim (Verilog)"
-set corner_file_list {
- {{"Slow -8 1.2V 85 Model"} {vga_colorbar_8_1200mv_85c_slow.vo vga_colorbar_8_1200mv_85c_v_slow.sdo}}
- {{"Slow -8 1.2V 0 Model"} {vga_colorbar_8_1200mv_0c_slow.vo vga_colorbar_8_1200mv_0c_v_slow.sdo}}
- {{"Fast -M 1.2V 0 Model"} {vga_colorbar_min_1200mv_0c_fast.vo vga_colorbar_min_1200mv_0c_v_fast.sdo}}
-}
+set tool_name "ModelSim (Verilog)"
+set corner_file_list {
+ {{"Slow -8 1.2V 85 Model"} {vga_colorbar_8_1200mv_85c_slow.vo vga_colorbar_8_1200mv_85c_v_slow.sdo}}
+ {{"Slow -8 1.2V 0 Model"} {vga_colorbar_8_1200mv_0c_slow.vo vga_colorbar_8_1200mv_0c_v_slow.sdo}}
+ {{"Fast -M 1.2V 0 Model"} {vga_colorbar_min_1200mv_0c_fast.vo vga_colorbar_min_1200mv_0c_v_fast.sdo}}
+}
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.vo b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.vo
index 31317fc..92df8a1 100644
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.vo
+++ b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar.vo
@@ -1,2833 +1,2833 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-// VENDOR "Altera"
-// PROGRAM "Quartus II 64-Bit"
-// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version"
-
-// DATE "06/02/2023 04:42:20"
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This Verilog file should be used for ModelSim (Verilog) only
-//
-
-`timescale 1 ps/ 1 ps
-
-module vga_colorbar (
- sys_clk,
- sys_rst_n,
- hsync,
- vsync,
- rgb);
-input sys_clk;
-input sys_rst_n;
-output hsync;
-output vsync;
-output [15:0] rgb;
-
-// Design Ports Information
-// hsync => Location: PIN_AA18, I/O Standard: 2.5 V, Current Strength: Default
-// vsync => Location: PIN_AB17, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[0] => Location: PIN_AB18, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[1] => Location: PIN_AA19, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[2] => Location: PIN_AB19, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[3] => Location: PIN_Y21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[4] => Location: PIN_W19, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[5] => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[6] => Location: PIN_U21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[7] => Location: PIN_U22, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[8] => Location: PIN_N20, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[9] => Location: PIN_N21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[10] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[11] => Location: PIN_M22, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[12] => Location: PIN_L21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[13] => Location: PIN_L22, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[14] => Location: PIN_K21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[15] => Location: PIN_J21, I/O Standard: 2.5 V, Current Strength: Default
-// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
-// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
-
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-tri1 devclrn;
-tri1 devpor;
-tri1 devoe;
-// synopsys translate_off
-initial $sdf_annotate("vga_colorbar_v.sdo");
-// synopsys translate_on
-
-wire \vga_ctrl_inst|Add0~4_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
-wire \vga_ctrl_inst|Add1~0_combout ;
-wire \vga_ctrl_inst|Add1~2_combout ;
-wire \vga_ctrl_inst|Add1~4_combout ;
-wire \vga_ctrl_inst|Add1~6_combout ;
-wire \vga_ctrl_inst|Add1~8_combout ;
-wire \vga_ctrl_inst|Add1~10_combout ;
-wire \vga_ctrl_inst|Add1~12_combout ;
-wire \vga_ctrl_inst|Add1~16_combout ;
-wire \vga_ctrl_inst|Equal0~0_combout ;
-wire \vga_ctrl_inst|cnt_v[8]~3_combout ;
-wire \vga_pic_inst|pix_data[4]~5_combout ;
-wire \vga_pic_inst|pix_data~8_combout ;
-wire \vga_pic_inst|pix_data~15_combout ;
-wire \vga_pic_inst|pix_data~17_combout ;
-wire \sys_clk~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~0_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
-wire \sys_rst_n~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
-wire \rst_n~0_combout ;
-wire \rst_n~0clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~1 ;
-wire \vga_ctrl_inst|Add0~3 ;
-wire \vga_ctrl_inst|Add0~5 ;
-wire \vga_ctrl_inst|Add0~6_combout ;
-wire \vga_ctrl_inst|Add0~7 ;
-wire \vga_ctrl_inst|Add0~8_combout ;
-wire \vga_ctrl_inst|Add0~9 ;
-wire \vga_ctrl_inst|Add0~11 ;
-wire \vga_ctrl_inst|Add0~12_combout ;
-wire \vga_ctrl_inst|Add0~13 ;
-wire \vga_ctrl_inst|Add0~14_combout ;
-wire \vga_ctrl_inst|Add0~15 ;
-wire \vga_ctrl_inst|Add0~16_combout ;
-wire \vga_ctrl_inst|Add0~17 ;
-wire \vga_ctrl_inst|Add0~18_combout ;
-wire \vga_ctrl_inst|cnt_h~1_combout ;
-wire \vga_ctrl_inst|Add0~10_combout ;
-wire \vga_ctrl_inst|cnt_h~0_combout ;
-wire \vga_ctrl_inst|Equal0~2_combout ;
-wire \vga_ctrl_inst|Add0~2_combout ;
-wire \vga_ctrl_inst|Equal0~1_combout ;
-wire \vga_ctrl_inst|Equal0~3_combout ;
-wire \vga_ctrl_inst|cnt_h~2_combout ;
-wire \vga_ctrl_inst|LessThan2~0_combout ;
-wire \vga_ctrl_inst|LessThan0~0_combout ;
-wire \vga_ctrl_inst|cnt_v[0]~9_combout ;
-wire \vga_ctrl_inst|cnt_v[2]~8_combout ;
-wire \vga_ctrl_inst|cnt_v[4]~6_combout ;
-wire \vga_ctrl_inst|always1~1_combout ;
-wire \vga_ctrl_inst|cnt_v[1]~0_combout ;
-wire \vga_ctrl_inst|always1~2_combout ;
-wire \vga_ctrl_inst|cnt_v[3]~7_combout ;
-wire \vga_ctrl_inst|LessThan6~0_combout ;
-wire \vga_ctrl_inst|cnt_v[5]~2_combout ;
-wire \vga_ctrl_inst|Add1~1 ;
-wire \vga_ctrl_inst|Add1~3 ;
-wire \vga_ctrl_inst|Add1~5 ;
-wire \vga_ctrl_inst|Add1~7 ;
-wire \vga_ctrl_inst|Add1~9 ;
-wire \vga_ctrl_inst|Add1~11 ;
-wire \vga_ctrl_inst|Add1~13 ;
-wire \vga_ctrl_inst|Add1~14_combout ;
-wire \vga_ctrl_inst|cnt_v[7]~4_combout ;
-wire \vga_ctrl_inst|Add1~15 ;
-wire \vga_ctrl_inst|Add1~17 ;
-wire \vga_ctrl_inst|Add1~18_combout ;
-wire \vga_ctrl_inst|cnt_v[9]~1_combout ;
-wire \vga_ctrl_inst|cnt_v[6]~5_combout ;
-wire \vga_ctrl_inst|always1~0_combout ;
-wire \vga_ctrl_inst|LessThan1~0_combout ;
-wire \vga_ctrl_inst|LessThan6~1_combout ;
-wire \vga_ctrl_inst|pix_data_req~1_combout ;
-wire \vga_ctrl_inst|pix_data_req~2_combout ;
-wire \vga_ctrl_inst|LessThan2~1_combout ;
-wire \vga_ctrl_inst|rgb_valid~0_combout ;
-wire \vga_ctrl_inst|Add2~1_cout ;
-wire \vga_ctrl_inst|Add2~3_cout ;
-wire \vga_ctrl_inst|Add2~5_cout ;
-wire \vga_ctrl_inst|Add2~7_cout ;
-wire \vga_ctrl_inst|Add2~9_cout ;
-wire \vga_ctrl_inst|Add2~11 ;
-wire \vga_ctrl_inst|Add2~12_combout ;
-wire \vga_ctrl_inst|Add2~10_combout ;
-wire \vga_pic_inst|LessThan14~0_combout ;
-wire \vga_ctrl_inst|Add2~13 ;
-wire \vga_ctrl_inst|Add2~15 ;
-wire \vga_ctrl_inst|Add2~16_combout ;
-wire \vga_ctrl_inst|Add2~14_combout ;
-wire \vga_pic_inst|LessThan6~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~0_combout ;
-wire \vga_ctrl_inst|LessThan4~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~3_combout ;
-wire \vga_ctrl_inst|pix_data_req~4_combout ;
-wire \vga_pic_inst|pix_data~4_combout ;
-wire \vga_pic_inst|pix_data~9_combout ;
-wire \vga_pic_inst|LessThan17~0_combout ;
-wire \vga_pic_inst|pix_data~6_combout ;
-wire \vga_pic_inst|pix_data[4]~10_combout ;
-wire \vga_pic_inst|pix_data~11_combout ;
-wire \vga_pic_inst|pix_data~12_combout ;
-wire \vga_pic_inst|pix_data~13_combout ;
-wire \vga_ctrl_inst|rgb[0]~0_combout ;
-wire \vga_pic_inst|pix_data[4]~7_combout ;
-wire \vga_pic_inst|pix_data~16_combout ;
-wire \vga_ctrl_inst|rgb[1]~1_combout ;
-wire \vga_pic_inst|pix_data~25_combout ;
-wire \vga_ctrl_inst|rgb[5]~2_combout ;
-wire \vga_pic_inst|pix_data~18_combout ;
-wire \vga_pic_inst|pix_data~14_combout ;
-wire \vga_pic_inst|pix_data~26_combout ;
-wire \vga_pic_inst|pix_data~19_combout ;
-wire \vga_ctrl_inst|rgb[7]~3_combout ;
-wire \vga_pic_inst|LessThan2~2_combout ;
-wire \vga_pic_inst|pix_data~20_combout ;
-wire \vga_pic_inst|pix_data~21_combout ;
-wire \vga_ctrl_inst|rgb[10]~4_combout ;
-wire \vga_pic_inst|pix_data~22_combout ;
-wire \vga_pic_inst|pix_data~23_combout ;
-wire \vga_ctrl_inst|rgb[11]~5_combout ;
-wire \vga_pic_inst|pix_data~24_combout ;
-wire \vga_ctrl_inst|rgb[12]~6_combout ;
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
-wire [9:0] \vga_ctrl_inst|cnt_v ;
-wire [9:0] \vga_ctrl_inst|cnt_h ;
-wire [15:0] \vga_pic_inst|pix_data ;
-
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
-
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
-
-// Location: LCCOMB_X35_Y23_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
-// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~3 ),
- .combout(\vga_ctrl_inst|Add0~4_combout ),
- .cout(\vga_ctrl_inst|Add0~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: PLL_2
-cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
- .areset(!\sys_rst_n~input_o ),
- .pfdena(vcc),
- .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .phaseupdown(gnd),
- .phasestep(gnd),
- .scandata(gnd),
- .scanclk(gnd),
- .scanclkena(vcc),
- .configupdate(gnd),
- .clkswitch(gnd),
- .inclk({gnd,\sys_clk~input_o }),
- .phasecounterselect(3'b000),
- .phasedone(),
- .scandataout(),
- .scandone(),
- .activeclock(),
- .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .vcooverrange(),
- .vcounderrange(),
- .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
- .clkbad());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 12;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 12;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 12;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 6891;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 208;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
-// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
-
- .dataa(\vga_ctrl_inst|cnt_v [0]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add1~0_combout ),
- .cout(\vga_ctrl_inst|Add1~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h55AA;
-defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
-// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~1 ),
- .combout(\vga_ctrl_inst|Add1~2_combout ),
- .cout(\vga_ctrl_inst|Add1~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
-// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~3 ),
- .combout(\vga_ctrl_inst|Add1~4_combout ),
- .cout(\vga_ctrl_inst|Add1~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
-// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~5 ),
- .combout(\vga_ctrl_inst|Add1~6_combout ),
- .cout(\vga_ctrl_inst|Add1~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
-// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~7 ),
- .combout(\vga_ctrl_inst|Add1~8_combout ),
- .cout(\vga_ctrl_inst|Add1~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
-// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [5]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~9 ),
- .combout(\vga_ctrl_inst|Add1~10_combout ),
- .cout(\vga_ctrl_inst|Add1~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
-// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [6]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~11 ),
- .combout(\vga_ctrl_inst|Add1~12_combout ),
- .cout(\vga_ctrl_inst|Add1~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N26
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
-// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [8]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~15 ),
- .combout(\vga_ctrl_inst|Add1~16_combout ),
- .cout(\vga_ctrl_inst|Add1~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N13
-dffeas \vga_ctrl_inst|cnt_v[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[8]~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [8] & \vga_ctrl_inst|cnt_h [9])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'hCC00;
-defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N13
-dffeas \vga_ctrl_inst|cnt_h[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N12
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~3 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[8]~3_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~16_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [8]))))
-
- .dataa(\vga_ctrl_inst|Add1~16_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [8]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[8]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8]~3 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[8]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~5 (
-// Equation(s):
-// \vga_pic_inst|pix_data[4]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~16_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~16_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[4]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4]~5 .lut_mask = 16'h00CC;
-defparam \vga_pic_inst|pix_data[4]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~8 (
-// Equation(s):
-// \vga_pic_inst|pix_data~8_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )) # (!\vga_ctrl_inst|Add2~10_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~16_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~8 .lut_mask = 16'hFBFF;
-defparam \vga_pic_inst|pix_data~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N10
-cycloneive_lcell_comb \vga_pic_inst|pix_data~15 (
-// Equation(s):
-// \vga_pic_inst|pix_data~15_combout = (\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|pix_data~11_combout & ((!\vga_pic_inst|pix_data[4]~10_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data~14_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~11_combout ),
- .datab(\vga_pic_inst|pix_data~14_combout ),
- .datac(\vga_pic_inst|pix_data[4]~10_combout ),
- .datad(\vga_pic_inst|pix_data[4]~5_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~15 .lut_mask = 16'h0ACC;
-defparam \vga_pic_inst|pix_data~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
-// Equation(s):
-// \vga_pic_inst|pix_data~17_combout = (\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout )) # (!\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~10_combout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~17_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0C3C;
-defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y15_N22
-cycloneive_io_ibuf \sys_clk~input (
- .i(sys_clk),
- .ibar(gnd),
- .o(\sys_clk~input_o ));
-// synopsys translate_off
-defparam \sys_clk~input .bus_hold = "false";
-defparam \sys_clk~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: CLKCTRL_G8
-cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: IOOBUF_X35_Y0_N30
-cycloneive_io_obuf \hsync~output (
- .i(!\vga_ctrl_inst|LessThan0~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(hsync),
- .obar());
-// synopsys translate_off
-defparam \hsync~output .bus_hold = "false";
-defparam \hsync~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X28_Y0_N2
-cycloneive_io_obuf \vsync~output (
- .i(!\vga_ctrl_inst|LessThan1~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(vsync),
- .obar());
-// synopsys translate_off
-defparam \vsync~output .bus_hold = "false";
-defparam \vsync~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X32_Y0_N2
-cycloneive_io_obuf \rgb[0]~output (
- .i(\vga_ctrl_inst|rgb[0]~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[0]),
- .obar());
-// synopsys translate_off
-defparam \rgb[0]~output .bus_hold = "false";
-defparam \rgb[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X35_Y0_N23
-cycloneive_io_obuf \rgb[1]~output (
- .i(\vga_ctrl_inst|rgb[1]~1_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[1]),
- .obar());
-// synopsys translate_off
-defparam \rgb[1]~output .bus_hold = "false";
-defparam \rgb[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X35_Y0_N16
-cycloneive_io_obuf \rgb[2]~output (
- .i(\vga_ctrl_inst|rgb[0]~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[2]),
- .obar());
-// synopsys translate_off
-defparam \rgb[2]~output .bus_hold = "false";
-defparam \rgb[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y4_N9
-cycloneive_io_obuf \rgb[3]~output (
- .i(\vga_ctrl_inst|rgb[1]~1_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[3]),
- .obar());
-// synopsys translate_off
-defparam \rgb[3]~output .bus_hold = "false";
-defparam \rgb[3]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y3_N9
-cycloneive_io_obuf \rgb[4]~output (
- .i(\vga_ctrl_inst|rgb[1]~1_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[4]),
- .obar());
-// synopsys translate_off
-defparam \rgb[4]~output .bus_hold = "false";
-defparam \rgb[4]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y3_N16
-cycloneive_io_obuf \rgb[5]~output (
- .i(\vga_ctrl_inst|rgb[5]~2_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[5]),
- .obar());
-// synopsys translate_off
-defparam \rgb[5]~output .bus_hold = "false";
-defparam \rgb[5]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y8_N2
-cycloneive_io_obuf \rgb[6]~output (
- .i(\vga_ctrl_inst|rgb[5]~2_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[6]),
- .obar());
-// synopsys translate_off
-defparam \rgb[6]~output .bus_hold = "false";
-defparam \rgb[6]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y8_N9
-cycloneive_io_obuf \rgb[7]~output (
- .i(\vga_ctrl_inst|rgb[7]~3_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[7]),
- .obar());
-// synopsys translate_off
-defparam \rgb[7]~output .bus_hold = "false";
-defparam \rgb[7]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y12_N16
-cycloneive_io_obuf \rgb[8]~output (
- .i(\vga_ctrl_inst|rgb[5]~2_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[8]),
- .obar());
-// synopsys translate_off
-defparam \rgb[8]~output .bus_hold = "false";
-defparam \rgb[8]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y13_N9
-cycloneive_io_obuf \rgb[9]~output (
- .i(\vga_ctrl_inst|rgb[7]~3_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[9]),
- .obar());
-// synopsys translate_off
-defparam \rgb[9]~output .bus_hold = "false";
-defparam \rgb[9]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y14_N23
-cycloneive_io_obuf \rgb[10]~output (
- .i(\vga_ctrl_inst|rgb[10]~4_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[10]),
- .obar());
-// synopsys translate_off
-defparam \rgb[10]~output .bus_hold = "false";
-defparam \rgb[10]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y13_N2
-cycloneive_io_obuf \rgb[11]~output (
- .i(\vga_ctrl_inst|rgb[11]~5_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[11]),
- .obar());
-// synopsys translate_off
-defparam \rgb[11]~output .bus_hold = "false";
-defparam \rgb[11]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y18_N16
-cycloneive_io_obuf \rgb[12]~output (
- .i(\vga_ctrl_inst|rgb[12]~6_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[12]),
- .obar());
-// synopsys translate_off
-defparam \rgb[12]~output .bus_hold = "false";
-defparam \rgb[12]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y18_N23
-cycloneive_io_obuf \rgb[13]~output (
- .i(\vga_ctrl_inst|rgb[11]~5_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[13]),
- .obar());
-// synopsys translate_off
-defparam \rgb[13]~output .bus_hold = "false";
-defparam \rgb[13]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y19_N9
-cycloneive_io_obuf \rgb[14]~output (
- .i(\vga_ctrl_inst|rgb[12]~6_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[14]),
- .obar());
-// synopsys translate_off
-defparam \rgb[14]~output .bus_hold = "false";
-defparam \rgb[14]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y20_N23
-cycloneive_io_obuf \rgb[15]~output (
- .i(\vga_ctrl_inst|rgb[12]~6_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[15]),
- .obar());
-// synopsys translate_off
-defparam \rgb[15]~output .bus_hold = "false";
-defparam \rgb[15]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
-// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add0~0_combout ),
- .cout(\vga_ctrl_inst|Add0~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
-defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y3_N0
-cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
-// Equation(s):
-// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y4_N1
-cycloneive_io_ibuf \sys_rst_n~input (
- .i(sys_rst_n),
- .ibar(gnd),
- .o(\sys_rst_n~input_o ));
-// synopsys translate_off
-defparam \sys_rst_n~input .bus_hold = "false";
-defparam \sys_rst_n~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: FF_X35_Y3_N1
-dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .asdata(vcc),
- .clrn(\sys_rst_n~input_o ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y3_N10
-cycloneive_lcell_comb \rst_n~0 (
-// Equation(s):
-// \rst_n~0_combout = ((!\sys_rst_n~input_o ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked )
-
- .dataa(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .datac(\sys_rst_n~input_o ),
- .datad(gnd),
- .cin(gnd),
- .combout(\rst_n~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \rst_n~0 .lut_mask = 16'h7F7F;
-defparam \rst_n~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: CLKCTRL_G16
-cycloneive_clkctrl \rst_n~0clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\rst_n~0clkctrl_outclk ));
-// synopsys translate_off
-defparam \rst_n~0clkctrl .clock_type = "global clock";
-defparam \rst_n~0clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N9
-dffeas \vga_ctrl_inst|cnt_h[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
-// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~1 ),
- .combout(\vga_ctrl_inst|Add0~2_combout ),
- .cout(\vga_ctrl_inst|Add0~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
-// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~5 ),
- .combout(\vga_ctrl_inst|Add0~6_combout ),
- .cout(\vga_ctrl_inst|Add0~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N15
-dffeas \vga_ctrl_inst|cnt_h[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
-// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~7 ),
- .combout(\vga_ctrl_inst|Add0~8_combout ),
- .cout(\vga_ctrl_inst|Add0~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N17
-dffeas \vga_ctrl_inst|cnt_h[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
-// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
-
- .dataa(\vga_ctrl_inst|cnt_h [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~9 ),
- .combout(\vga_ctrl_inst|Add0~10_combout ),
- .cout(\vga_ctrl_inst|Add0~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
-// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~11 ),
- .combout(\vga_ctrl_inst|Add0~12_combout ),
- .cout(\vga_ctrl_inst|Add0~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N21
-dffeas \vga_ctrl_inst|cnt_h[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~12_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
-// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~13 ),
- .combout(\vga_ctrl_inst|Add0~14_combout ),
- .cout(\vga_ctrl_inst|Add0~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N23
-dffeas \vga_ctrl_inst|cnt_h[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~14_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
-// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~15 ),
- .combout(\vga_ctrl_inst|Add0~16_combout ),
- .cout(\vga_ctrl_inst|Add0~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N26
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~18_combout = \vga_ctrl_inst|Add0~17 $ (\vga_ctrl_inst|cnt_h [9])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(\vga_ctrl_inst|Add0~17 ),
- .combout(\vga_ctrl_inst|Add0~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~1_combout = (!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|Add0~18_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|Add0~18_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h3030;
-defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N1
-dffeas \vga_ctrl_inst|cnt_h[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N24
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & !\vga_ctrl_inst|Equal0~3_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add0~10_combout ),
- .datac(\vga_ctrl_inst|Equal0~3_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h0C0C;
-defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y23_N25
-dffeas \vga_ctrl_inst|cnt_h[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N30
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|cnt_h [5] & !\vga_ctrl_inst|cnt_h [6])))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [9]),
- .datac(\vga_ctrl_inst|cnt_h [5]),
- .datad(\vga_ctrl_inst|cnt_h [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0008;
-defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N11
-dffeas \vga_ctrl_inst|cnt_h[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N28
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~1_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [1])))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(\vga_ctrl_inst|cnt_h [0]),
- .datad(\vga_ctrl_inst|cnt_h [1]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Equal0~2_combout & (\vga_ctrl_inst|Equal0~1_combout & !\vga_ctrl_inst|cnt_h [7])))
-
- .dataa(\vga_ctrl_inst|cnt_h [4]),
- .datab(\vga_ctrl_inst|Equal0~2_combout ),
- .datac(\vga_ctrl_inst|Equal0~1_combout ),
- .datad(\vga_ctrl_inst|cnt_h [7]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'h0080;
-defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & !\vga_ctrl_inst|Equal0~3_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add0~16_combout ),
- .datac(\vga_ctrl_inst|Equal0~3_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h0C0C;
-defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N3
-dffeas \vga_ctrl_inst|cnt_h[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N4
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan2~0_combout = (!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|cnt_h [9])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan2~0 .lut_mask = 16'h0033;
-defparam \vga_ctrl_inst|LessThan2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # (((\vga_ctrl_inst|cnt_h [6] & \vga_ctrl_inst|cnt_h [5])) # (!\vga_ctrl_inst|LessThan2~0_combout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(\vga_ctrl_inst|LessThan2~0_combout ),
- .datad(\vga_ctrl_inst|cnt_h [5]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hEFAF;
-defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N28
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~9 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[0]~9_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~0_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [0]))))
-
- .dataa(\vga_ctrl_inst|Add1~0_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [0]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[0]~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0]~9 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[0]~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N29
-dffeas \vga_ctrl_inst|cnt_v[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[0]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N4
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~8 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[2]~8_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~4_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [2]))))
-
- .dataa(\vga_ctrl_inst|Add1~4_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[2]~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2]~8 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[2]~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N5
-dffeas \vga_ctrl_inst|cnt_v[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[2]~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~6 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[4]~6_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~8_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [4]))))
-
- .dataa(\vga_ctrl_inst|Add1~8_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [4]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[4]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4]~6 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[4]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N1
-dffeas \vga_ctrl_inst|cnt_v[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[4]~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
-// Equation(s):
-// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|cnt_v [9] & (\vga_ctrl_inst|cnt_v [3] & (\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4])))
-
- .dataa(\vga_ctrl_inst|cnt_v [9]),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0080;
-defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[1]~0_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~2_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [1]))))
-
- .dataa(\vga_ctrl_inst|Add1~2_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[1]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1]~0 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[1]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N17
-dffeas \vga_ctrl_inst|cnt_v[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[1]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
-// Equation(s):
-// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [0] & (\vga_ctrl_inst|always1~1_combout & !\vga_ctrl_inst|cnt_v [1])))
-
- .dataa(\vga_ctrl_inst|always1~0_combout ),
- .datab(\vga_ctrl_inst|cnt_v [0]),
- .datac(\vga_ctrl_inst|always1~1_combout ),
- .datad(\vga_ctrl_inst|cnt_v [1]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0020;
-defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~7 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[3]~7_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~6_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [3]))))
-
- .dataa(\vga_ctrl_inst|Add1~6_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [3]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[3]~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3]~7 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[3]~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N3
-dffeas \vga_ctrl_inst|cnt_v[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[3]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0003;
-defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[5]~2_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~10_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [5]))))
-
- .dataa(\vga_ctrl_inst|Add1~10_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [5]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[5]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5]~2 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[5]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N19
-dffeas \vga_ctrl_inst|cnt_v[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[5]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
-// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [7]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~13 ),
- .combout(\vga_ctrl_inst|Add1~14_combout ),
- .cout(\vga_ctrl_inst|Add1~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~4 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[7]~4_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~14_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [7]))))
-
- .dataa(\vga_ctrl_inst|always1~2_combout ),
- .datab(\vga_ctrl_inst|Add1~14_combout ),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[7]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7]~4 .lut_mask = 16'h44F0;
-defparam \vga_ctrl_inst|cnt_v[7]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y24_N3
-dffeas \vga_ctrl_inst|cnt_v[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[7]~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N28
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~18_combout = \vga_ctrl_inst|Add1~17 $ (\vga_ctrl_inst|cnt_v [9])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_v [9]),
- .cin(\vga_ctrl_inst|Add1~17 ),
- .combout(\vga_ctrl_inst|Add1~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[9]~1_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~18_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [9]))))
-
- .dataa(\vga_ctrl_inst|always1~2_combout ),
- .datab(\vga_ctrl_inst|Add1~18_combout ),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[9]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9]~1 .lut_mask = 16'h44F0;
-defparam \vga_ctrl_inst|cnt_v[9]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y24_N1
-dffeas \vga_ctrl_inst|cnt_v[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[9]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N4
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~5 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[6]~5_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~12_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [6]))))
-
- .dataa(\vga_ctrl_inst|Add1~12_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [6]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[6]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6]~5 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[6]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y24_N5
-dffeas \vga_ctrl_inst|cnt_v[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[6]~5_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
-// Equation(s):
-// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
-
- .dataa(\vga_ctrl_inst|cnt_v [8]),
- .datab(\vga_ctrl_inst|cnt_v [5]),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|cnt_v [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan1~0_combout = ((\vga_ctrl_inst|cnt_v [1]) # ((\vga_ctrl_inst|cnt_v [9]) # (!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|LessThan6~0_combout )
-
- .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
- .datab(\vga_ctrl_inst|cnt_v [1]),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|always1~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'hFDFF;
-defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~1 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan6~1_combout = (!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [0]),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_v [1]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan6~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan6~1 .lut_mask = 16'h33FF;
-defparam \vga_ctrl_inst|LessThan6~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N30
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~1_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
-
- .dataa(\vga_ctrl_inst|cnt_v [8]),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|cnt_v [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N24
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~2_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|LessThan6~1_combout & (\vga_ctrl_inst|pix_data_req~1_combout )) # (!\vga_ctrl_inst|LessThan6~1_combout & ((\vga_ctrl_inst|always1~0_combout ))))) #
-// (!\vga_ctrl_inst|LessThan6~0_combout & (((\vga_ctrl_inst|always1~0_combout ))))
-
- .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
- .datab(\vga_ctrl_inst|LessThan6~1_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datad(\vga_ctrl_inst|always1~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'hF780;
-defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N28
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~1 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan2~1_combout = (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [5]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(\vga_ctrl_inst|cnt_h [4]),
- .datad(\vga_ctrl_inst|cnt_h [5]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan2~1 .lut_mask = 16'h0003;
-defparam \vga_ctrl_inst|LessThan2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|rgb_valid~0 (
-// Equation(s):
-// \vga_ctrl_inst|rgb_valid~0_combout = (\vga_ctrl_inst|Equal0~0_combout & (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|LessThan2~0_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout & (((\vga_ctrl_inst|cnt_h [7] &
-// !\vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|LessThan2~0_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~0_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|LessThan2~0_combout ),
- .datad(\vga_ctrl_inst|LessThan2~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb_valid~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb_valid~0 .lut_mask = 16'h0745;
-defparam \vga_ctrl_inst|rgb_valid~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~1_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
-defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~1_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~3_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
-defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~3_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~5_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
-defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~5_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~7_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005;
-defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~7_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~9_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00AF;
-defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
-// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~9_cout ),
- .combout(\vga_ctrl_inst|Add2~10_combout ),
- .cout(\vga_ctrl_inst|Add2~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
-defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
-// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~11 ),
- .combout(\vga_ctrl_inst|Add2~12_combout ),
- .cout(\vga_ctrl_inst|Add2~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N24
-cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hCC00;
-defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
-// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~13 ),
- .combout(\vga_ctrl_inst|Add2~14_combout ),
- .cout(\vga_ctrl_inst|Add2~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505;
-defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~16_combout = \vga_ctrl_inst|cnt_h [9] $ (\vga_ctrl_inst|Add2~15 )
-
- .dataa(\vga_ctrl_inst|cnt_h [9]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\vga_ctrl_inst|Add2~15 ),
- .combout(\vga_ctrl_inst|Add2~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5A5A;
-defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N2
-cycloneive_lcell_comb \vga_pic_inst|LessThan6~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan6~0_combout = ((\vga_pic_inst|LessThan14~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_ctrl_inst|Add2~14_combout ))) # (!\vga_ctrl_inst|pix_data_req~4_combout )
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_pic_inst|LessThan14~0_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan6~0 .lut_mask = 16'hFFFD;
-defparam \vga_pic_inst|LessThan6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N26
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|always1~0_combout & \vga_ctrl_inst|cnt_v [9])
-
- .dataa(\vga_ctrl_inst|always1~0_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h5050;
-defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N4
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan4~0_combout = (\vga_ctrl_inst|LessThan2~0_combout & (((!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|cnt_h [7])))
-
- .dataa(\vga_ctrl_inst|Equal0~1_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|LessThan2~0_combout ),
- .datad(\vga_ctrl_inst|LessThan2~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan4~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h7030;
-defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~3_combout = ((!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout )
-
- .dataa(\vga_ctrl_inst|Equal0~0_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|Equal0~1_combout ),
- .datad(\vga_ctrl_inst|LessThan2~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'h5755;
-defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (!\vga_ctrl_inst|LessThan4~0_combout & \vga_ctrl_inst|pix_data_req~3_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|LessThan4~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'h0100;
-defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~4 (
-// Equation(s):
-// \vga_pic_inst|pix_data~4_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~4 .lut_mask = 16'h00CC;
-defparam \vga_pic_inst|pix_data~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~9 (
-// Equation(s):
-// \vga_pic_inst|pix_data~9_combout = (\vga_pic_inst|pix_data~8_combout & ((\vga_pic_inst|LessThan6~0_combout ) # ((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data~8_combout &
-// (((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~8_combout ),
- .datab(\vga_pic_inst|LessThan6~0_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_pic_inst|pix_data~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~9 .lut_mask = 16'h8F88;
-defparam \vga_pic_inst|pix_data~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N8
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~0_combout = (\vga_ctrl_inst|Add2~12_combout ) # ((\vga_ctrl_inst|Add2~10_combout ) # ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~0 .lut_mask = 16'hFEFF;
-defparam \vga_pic_inst|LessThan17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N14
-cycloneive_lcell_comb \vga_pic_inst|pix_data~6 (
-// Equation(s):
-// \vga_pic_inst|pix_data~6_combout = ((\vga_pic_inst|LessThan17~0_combout & ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout )
-
- .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
- .datab(\vga_pic_inst|pix_data~4_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_pic_inst|LessThan17~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~6 .lut_mask = 16'hF755;
-defparam \vga_pic_inst|pix_data~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N22
-cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~10 (
-// Equation(s):
-// \vga_pic_inst|pix_data[4]~10_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[4]~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4]~10 .lut_mask = 16'h0FFF;
-defparam \vga_pic_inst|pix_data[4]~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~11 (
-// Equation(s):
-// \vga_pic_inst|pix_data~11_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~10_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~11 .lut_mask = 16'h0080;
-defparam \vga_pic_inst|pix_data~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
-// Equation(s):
-// \vga_pic_inst|pix_data~12_combout = (\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data[4]~10_combout ) # (!\vga_pic_inst|pix_data~11_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|LessThan17~0_combout ))
-
- .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
- .datab(\vga_pic_inst|LessThan17~0_combout ),
- .datac(\vga_pic_inst|pix_data[4]~10_combout ),
- .datad(\vga_pic_inst|pix_data~11_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'hE4EE;
-defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N16
-cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
-// Equation(s):
-// \vga_pic_inst|pix_data~13_combout = ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout ))) # (!\vga_pic_inst|pix_data~12_combout )
-
- .dataa(\vga_pic_inst|pix_data[4]~7_combout ),
- .datab(\vga_pic_inst|pix_data~9_combout ),
- .datac(\vga_pic_inst|pix_data~6_combout ),
- .datad(\vga_pic_inst|pix_data~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'h80FF;
-defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N17
-dffeas \vga_pic_inst|pix_data[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N0
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[0]~0 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[0]~0_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_ctrl_inst|rgb_valid~0_combout & (\vga_pic_inst|pix_data [0] & !\vga_ctrl_inst|pix_data_req~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datac(\vga_pic_inst|pix_data [0]),
- .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[0]~0 .lut_mask = 16'h0040;
-defparam \vga_ctrl_inst|rgb[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~7 (
-// Equation(s):
-// \vga_pic_inst|pix_data[4]~7_combout = (!\vga_ctrl_inst|Add2~16_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|Add2~12_combout ))))
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(\vga_ctrl_inst|Add2~14_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[4]~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4]~7 .lut_mask = 16'h0700;
-defparam \vga_pic_inst|pix_data[4]~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
-// Equation(s):
-// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout )))
-
- .dataa(\vga_pic_inst|pix_data~15_combout ),
- .datab(\vga_pic_inst|pix_data[4]~7_combout ),
- .datac(\vga_pic_inst|pix_data~9_combout ),
- .datad(\vga_pic_inst|pix_data~6_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'hEAAA;
-defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N19
-dffeas \vga_pic_inst|pix_data[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~16_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N26
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~1 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[1]~1_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [4])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[1]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[1]~1 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[1]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
-// Equation(s):
-// \vga_pic_inst|pix_data~25_combout = (\vga_ctrl_inst|Add2~16_combout & (((!\vga_pic_inst|LessThan17~0_combout )))) # (!\vga_ctrl_inst|Add2~16_combout & ((\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data~17_combout )) #
-// (!\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_pic_inst|LessThan17~0_combout )))))
-
- .dataa(\vga_pic_inst|pix_data~17_combout ),
- .datab(\vga_ctrl_inst|Add2~16_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_pic_inst|LessThan17~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~25_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h20EF;
-defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y23_N13
-dffeas \vga_pic_inst|pix_data[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~25_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N30
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[5]~2 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[5]~2_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [8])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[5]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[5]~2 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[5]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N28
-cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
-// Equation(s):
-// \vga_pic_inst|pix_data~18_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~10_combout )) # (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout
-// ))))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h4060;
-defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~14 (
-// Equation(s):
-// \vga_pic_inst|pix_data~14_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add2~14_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~14 .lut_mask = 16'h0030;
-defparam \vga_pic_inst|pix_data~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
-// Equation(s):
-// \vga_pic_inst|pix_data~26_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|pix_data~14_combout ))) # (!\vga_ctrl_inst|Add2~16_combout & (\vga_pic_inst|pix_data~18_combout )))) #
-// (!\vga_ctrl_inst|pix_data_req~4_combout & (((\vga_pic_inst|pix_data~14_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_pic_inst|pix_data~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|pix_data~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~26_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hFD08;
-defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
-// Equation(s):
-// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|pix_data~26_combout & \vga_pic_inst|pix_data~6_combout )
-
- .dataa(gnd),
- .datab(\vga_pic_inst|pix_data~26_combout ),
- .datac(gnd),
- .datad(\vga_pic_inst|pix_data~6_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~19_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCC00;
-defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N1
-dffeas \vga_pic_inst|pix_data[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~19_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[7]~3_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [9])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N6
-cycloneive_lcell_comb \vga_pic_inst|LessThan2~2 (
-// Equation(s):
-// \vga_pic_inst|LessThan2~2_combout = (\vga_pic_inst|LessThan17~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout ))
-
- .dataa(\vga_pic_inst|LessThan17~0_combout ),
- .datab(\vga_ctrl_inst|Add2~16_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan2~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan2~2 .lut_mask = 16'hEEFF;
-defparam \vga_pic_inst|LessThan2~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
-// Equation(s):
-// \vga_pic_inst|pix_data~20_combout = (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|pix_data_req~4_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~16_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~20_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h0500;
-defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
-// Equation(s):
-// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|LessThan2~2_combout & ((\vga_pic_inst|pix_data~26_combout ) # ((\vga_pic_inst|pix_data~4_combout & \vga_pic_inst|pix_data~20_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~4_combout ),
- .datab(\vga_pic_inst|pix_data~26_combout ),
- .datac(\vga_pic_inst|LessThan2~2_combout ),
- .datad(\vga_pic_inst|pix_data~20_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~21_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'hE0C0;
-defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N27
-dffeas \vga_pic_inst|pix_data[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~21_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N2
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~4 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[10]~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [10])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [10]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[10]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[10]~4 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[10]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N20
-cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
-// Equation(s):
-// \vga_pic_inst|pix_data~22_combout = ((\vga_pic_inst|pix_data[4]~5_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))) # (!\vga_pic_inst|LessThan6~0_combout )
-
- .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
- .datab(\vga_pic_inst|LessThan6~0_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_pic_inst|pix_data~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h3B33;
-defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N28
-cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
-// Equation(s):
-// \vga_pic_inst|pix_data~23_combout = ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout )))) # (!\vga_pic_inst|pix_data~12_combout )
-
- .dataa(\vga_pic_inst|LessThan2~2_combout ),
- .datab(\vga_pic_inst|pix_data~12_combout ),
- .datac(\vga_pic_inst|pix_data~22_combout ),
- .datad(\vga_pic_inst|pix_data[4]~7_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~23_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'hF733;
-defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N29
-dffeas \vga_pic_inst|pix_data[13] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~23_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [13]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[13] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[11]~5 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[11]~5_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [13] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_pic_inst|pix_data [13]),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[11]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[11]~5 .lut_mask = 16'h0040;
-defparam \vga_ctrl_inst|rgb[11]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data~24 (
-// Equation(s):
-// \vga_pic_inst|pix_data~24_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~15_combout ),
- .datab(\vga_pic_inst|pix_data[4]~7_combout ),
- .datac(\vga_pic_inst|pix_data~22_combout ),
- .datad(\vga_pic_inst|LessThan2~2_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~24_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~24 .lut_mask = 16'hEAEE;
-defparam \vga_pic_inst|pix_data~24 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N31
-dffeas \vga_pic_inst|pix_data[15] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~24_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [15]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[15] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~6 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[12]~6_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [15] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_pic_inst|pix_data [15]),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[12]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[12]~6 .lut_mask = 16'h0040;
-defparam \vga_ctrl_inst|rgb[12]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-endmodule
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus II 32-bit"
+// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
+
+// DATE "04/29/2025 20:26:33"
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This Verilog file should be used for ModelSim (Verilog) only
+//
+
+`timescale 1 ps/ 1 ps
+
+module vga_colorbar (
+ sys_clk,
+ sys_rst_n,
+ hsync,
+ vsync,
+ rgb);
+input sys_clk;
+input sys_rst_n;
+output hsync;
+output vsync;
+output [15:0] rgb;
+
+// Design Ports Information
+// hsync => Location: PIN_AA18, I/O Standard: 2.5 V, Current Strength: Default
+// vsync => Location: PIN_AB17, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[0] => Location: PIN_AB18, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[1] => Location: PIN_AA19, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[2] => Location: PIN_AB19, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[3] => Location: PIN_Y21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[4] => Location: PIN_W19, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[5] => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[6] => Location: PIN_U21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[7] => Location: PIN_U22, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[8] => Location: PIN_N20, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[9] => Location: PIN_N21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[10] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[11] => Location: PIN_M22, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[12] => Location: PIN_L21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[13] => Location: PIN_L22, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[14] => Location: PIN_K21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[15] => Location: PIN_J21, I/O Standard: 2.5 V, Current Strength: Default
+// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
+// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
+
+
+wire gnd;
+wire vcc;
+wire unknown;
+
+assign gnd = 1'b0;
+assign vcc = 1'b1;
+assign unknown = 1'bx;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+// synopsys translate_off
+initial $sdf_annotate("vga_colorbar_v.sdo");
+// synopsys translate_on
+
+wire \vga_ctrl_inst|Add0~4_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
+wire \vga_ctrl_inst|Add1~0_combout ;
+wire \vga_ctrl_inst|Add1~2_combout ;
+wire \vga_ctrl_inst|Add1~4_combout ;
+wire \vga_ctrl_inst|Add1~6_combout ;
+wire \vga_ctrl_inst|Add1~8_combout ;
+wire \vga_ctrl_inst|Add1~10_combout ;
+wire \vga_ctrl_inst|Add1~12_combout ;
+wire \vga_ctrl_inst|Add1~16_combout ;
+wire \vga_ctrl_inst|Equal0~0_combout ;
+wire \vga_ctrl_inst|cnt_v[8]~3_combout ;
+wire \vga_pic_inst|pix_data[4]~5_combout ;
+wire \vga_pic_inst|pix_data~8_combout ;
+wire \vga_pic_inst|pix_data~15_combout ;
+wire \vga_pic_inst|pix_data~17_combout ;
+wire \sys_clk~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
+wire \vga_ctrl_inst|Add0~0_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
+wire \sys_rst_n~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
+wire \rst_n~0_combout ;
+wire \rst_n~0clkctrl_outclk ;
+wire \vga_ctrl_inst|Add0~1 ;
+wire \vga_ctrl_inst|Add0~3 ;
+wire \vga_ctrl_inst|Add0~5 ;
+wire \vga_ctrl_inst|Add0~6_combout ;
+wire \vga_ctrl_inst|Add0~7 ;
+wire \vga_ctrl_inst|Add0~8_combout ;
+wire \vga_ctrl_inst|Add0~9 ;
+wire \vga_ctrl_inst|Add0~11 ;
+wire \vga_ctrl_inst|Add0~12_combout ;
+wire \vga_ctrl_inst|Add0~13 ;
+wire \vga_ctrl_inst|Add0~14_combout ;
+wire \vga_ctrl_inst|Add0~15 ;
+wire \vga_ctrl_inst|Add0~16_combout ;
+wire \vga_ctrl_inst|Add0~17 ;
+wire \vga_ctrl_inst|Add0~18_combout ;
+wire \vga_ctrl_inst|cnt_h~1_combout ;
+wire \vga_ctrl_inst|Add0~10_combout ;
+wire \vga_ctrl_inst|cnt_h~0_combout ;
+wire \vga_ctrl_inst|Equal0~2_combout ;
+wire \vga_ctrl_inst|Add0~2_combout ;
+wire \vga_ctrl_inst|Equal0~1_combout ;
+wire \vga_ctrl_inst|Equal0~3_combout ;
+wire \vga_ctrl_inst|cnt_h~2_combout ;
+wire \vga_ctrl_inst|LessThan2~0_combout ;
+wire \vga_ctrl_inst|LessThan0~0_combout ;
+wire \vga_ctrl_inst|cnt_v[0]~9_combout ;
+wire \vga_ctrl_inst|cnt_v[2]~8_combout ;
+wire \vga_ctrl_inst|cnt_v[4]~6_combout ;
+wire \vga_ctrl_inst|always1~1_combout ;
+wire \vga_ctrl_inst|cnt_v[1]~0_combout ;
+wire \vga_ctrl_inst|always1~2_combout ;
+wire \vga_ctrl_inst|cnt_v[3]~7_combout ;
+wire \vga_ctrl_inst|LessThan6~0_combout ;
+wire \vga_ctrl_inst|cnt_v[5]~2_combout ;
+wire \vga_ctrl_inst|Add1~1 ;
+wire \vga_ctrl_inst|Add1~3 ;
+wire \vga_ctrl_inst|Add1~5 ;
+wire \vga_ctrl_inst|Add1~7 ;
+wire \vga_ctrl_inst|Add1~9 ;
+wire \vga_ctrl_inst|Add1~11 ;
+wire \vga_ctrl_inst|Add1~13 ;
+wire \vga_ctrl_inst|Add1~14_combout ;
+wire \vga_ctrl_inst|cnt_v[7]~4_combout ;
+wire \vga_ctrl_inst|Add1~15 ;
+wire \vga_ctrl_inst|Add1~17 ;
+wire \vga_ctrl_inst|Add1~18_combout ;
+wire \vga_ctrl_inst|cnt_v[9]~1_combout ;
+wire \vga_ctrl_inst|cnt_v[6]~5_combout ;
+wire \vga_ctrl_inst|always1~0_combout ;
+wire \vga_ctrl_inst|LessThan1~0_combout ;
+wire \vga_ctrl_inst|LessThan6~1_combout ;
+wire \vga_ctrl_inst|pix_data_req~1_combout ;
+wire \vga_ctrl_inst|pix_data_req~2_combout ;
+wire \vga_ctrl_inst|LessThan2~1_combout ;
+wire \vga_ctrl_inst|rgb_valid~0_combout ;
+wire \vga_ctrl_inst|Add2~1_cout ;
+wire \vga_ctrl_inst|Add2~3_cout ;
+wire \vga_ctrl_inst|Add2~5_cout ;
+wire \vga_ctrl_inst|Add2~7_cout ;
+wire \vga_ctrl_inst|Add2~9_cout ;
+wire \vga_ctrl_inst|Add2~11 ;
+wire \vga_ctrl_inst|Add2~12_combout ;
+wire \vga_ctrl_inst|Add2~10_combout ;
+wire \vga_pic_inst|LessThan14~0_combout ;
+wire \vga_ctrl_inst|Add2~13 ;
+wire \vga_ctrl_inst|Add2~15 ;
+wire \vga_ctrl_inst|Add2~16_combout ;
+wire \vga_ctrl_inst|Add2~14_combout ;
+wire \vga_pic_inst|LessThan6~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~0_combout ;
+wire \vga_ctrl_inst|LessThan4~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~3_combout ;
+wire \vga_ctrl_inst|pix_data_req~4_combout ;
+wire \vga_pic_inst|pix_data~4_combout ;
+wire \vga_pic_inst|pix_data~9_combout ;
+wire \vga_pic_inst|LessThan17~0_combout ;
+wire \vga_pic_inst|pix_data~6_combout ;
+wire \vga_pic_inst|pix_data[4]~10_combout ;
+wire \vga_pic_inst|pix_data~11_combout ;
+wire \vga_pic_inst|pix_data~12_combout ;
+wire \vga_pic_inst|pix_data~13_combout ;
+wire \vga_ctrl_inst|rgb[0]~0_combout ;
+wire \vga_pic_inst|pix_data[4]~7_combout ;
+wire \vga_pic_inst|pix_data~16_combout ;
+wire \vga_ctrl_inst|rgb[1]~1_combout ;
+wire \vga_pic_inst|pix_data~25_combout ;
+wire \vga_ctrl_inst|rgb[5]~2_combout ;
+wire \vga_pic_inst|pix_data~18_combout ;
+wire \vga_pic_inst|pix_data~14_combout ;
+wire \vga_pic_inst|pix_data~26_combout ;
+wire \vga_pic_inst|pix_data~19_combout ;
+wire \vga_ctrl_inst|rgb[7]~3_combout ;
+wire \vga_pic_inst|LessThan2~2_combout ;
+wire \vga_pic_inst|pix_data~20_combout ;
+wire \vga_pic_inst|pix_data~21_combout ;
+wire \vga_ctrl_inst|rgb[10]~4_combout ;
+wire \vga_pic_inst|pix_data~22_combout ;
+wire \vga_pic_inst|pix_data~23_combout ;
+wire \vga_ctrl_inst|rgb[11]~5_combout ;
+wire \vga_pic_inst|pix_data~24_combout ;
+wire \vga_ctrl_inst|rgb[12]~6_combout ;
+wire [9:0] \vga_ctrl_inst|cnt_h ;
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
+wire [9:0] \vga_ctrl_inst|cnt_v ;
+wire [15:0] \vga_pic_inst|pix_data ;
+
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
+
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
+
+// Location: LCCOMB_X35_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
+// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~3 ),
+ .combout(\vga_ctrl_inst|Add0~4_combout ),
+ .cout(\vga_ctrl_inst|Add0~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: PLL_2
+cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
+ .areset(!\sys_rst_n~input_o ),
+ .pfdena(vcc),
+ .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .phaseupdown(gnd),
+ .phasestep(gnd),
+ .scandata(gnd),
+ .scanclk(gnd),
+ .scanclkena(vcc),
+ .configupdate(gnd),
+ .clkswitch(gnd),
+ .inclk({gnd,\sys_clk~input_o }),
+ .phasecounterselect(3'b000),
+ .phasedone(),
+ .scandataout(),
+ .scandone(),
+ .activeclock(),
+ .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .vcooverrange(),
+ .vcounderrange(),
+ .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
+ .clkbad());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 6891;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 208;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
+// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
+
+ .dataa(\vga_ctrl_inst|cnt_v [0]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add1~0_combout ),
+ .cout(\vga_ctrl_inst|Add1~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h55AA;
+defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
+// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~1 ),
+ .combout(\vga_ctrl_inst|Add1~2_combout ),
+ .cout(\vga_ctrl_inst|Add1~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
+// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~3 ),
+ .combout(\vga_ctrl_inst|Add1~4_combout ),
+ .cout(\vga_ctrl_inst|Add1~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
+// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~5 ),
+ .combout(\vga_ctrl_inst|Add1~6_combout ),
+ .cout(\vga_ctrl_inst|Add1~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
+// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~7 ),
+ .combout(\vga_ctrl_inst|Add1~8_combout ),
+ .cout(\vga_ctrl_inst|Add1~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
+// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [5]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~9 ),
+ .combout(\vga_ctrl_inst|Add1~10_combout ),
+ .cout(\vga_ctrl_inst|Add1~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
+// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [6]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~11 ),
+ .combout(\vga_ctrl_inst|Add1~12_combout ),
+ .cout(\vga_ctrl_inst|Add1~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N26
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
+// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~15 ),
+ .combout(\vga_ctrl_inst|Add1~16_combout ),
+ .cout(\vga_ctrl_inst|Add1~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N13
+dffeas \vga_ctrl_inst|cnt_v[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[8]~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [8] & \vga_ctrl_inst|cnt_h [9])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'hCC00;
+defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N13
+dffeas \vga_ctrl_inst|cnt_h[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~3 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[8]~3_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~16_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [8]))))
+
+ .dataa(\vga_ctrl_inst|Add1~16_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [8]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[8]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8]~3 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[8]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~5 (
+// Equation(s):
+// \vga_pic_inst|pix_data[4]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~16_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[4]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4]~5 .lut_mask = 16'h00CC;
+defparam \vga_pic_inst|pix_data[4]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~8 (
+// Equation(s):
+// \vga_pic_inst|pix_data~8_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )) # (!\vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~10_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~8 .lut_mask = 16'hFBFF;
+defparam \vga_pic_inst|pix_data~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data~15 (
+// Equation(s):
+// \vga_pic_inst|pix_data~15_combout = (\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|pix_data~11_combout & ((!\vga_pic_inst|pix_data[4]~10_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data~14_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~11_combout ),
+ .datab(\vga_pic_inst|pix_data~14_combout ),
+ .datac(\vga_pic_inst|pix_data[4]~10_combout ),
+ .datad(\vga_pic_inst|pix_data[4]~5_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~15 .lut_mask = 16'h0ACC;
+defparam \vga_pic_inst|pix_data~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
+// Equation(s):
+// \vga_pic_inst|pix_data~17_combout = (\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout )) # (!\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~17_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0C3C;
+defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y15_N22
+cycloneive_io_ibuf \sys_clk~input (
+ .i(sys_clk),
+ .ibar(gnd),
+ .o(\sys_clk~input_o ));
+// synopsys translate_off
+defparam \sys_clk~input .bus_hold = "false";
+defparam \sys_clk~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: CLKCTRL_G8
+cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N30
+cycloneive_io_obuf \hsync~output (
+ .i(!\vga_ctrl_inst|LessThan0~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(hsync),
+ .obar());
+// synopsys translate_off
+defparam \hsync~output .bus_hold = "false";
+defparam \hsync~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y0_N2
+cycloneive_io_obuf \vsync~output (
+ .i(!\vga_ctrl_inst|LessThan1~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(vsync),
+ .obar());
+// synopsys translate_off
+defparam \vsync~output .bus_hold = "false";
+defparam \vsync~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y0_N2
+cycloneive_io_obuf \rgb[0]~output (
+ .i(\vga_ctrl_inst|rgb[0]~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[0]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[0]~output .bus_hold = "false";
+defparam \rgb[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N23
+cycloneive_io_obuf \rgb[1]~output (
+ .i(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[1]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[1]~output .bus_hold = "false";
+defparam \rgb[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N16
+cycloneive_io_obuf \rgb[2]~output (
+ .i(\vga_ctrl_inst|rgb[0]~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[2]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[2]~output .bus_hold = "false";
+defparam \rgb[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y4_N9
+cycloneive_io_obuf \rgb[3]~output (
+ .i(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[3]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[3]~output .bus_hold = "false";
+defparam \rgb[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y3_N9
+cycloneive_io_obuf \rgb[4]~output (
+ .i(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[4]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[4]~output .bus_hold = "false";
+defparam \rgb[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y3_N16
+cycloneive_io_obuf \rgb[5]~output (
+ .i(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[5]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[5]~output .bus_hold = "false";
+defparam \rgb[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y8_N2
+cycloneive_io_obuf \rgb[6]~output (
+ .i(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[6]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[6]~output .bus_hold = "false";
+defparam \rgb[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y8_N9
+cycloneive_io_obuf \rgb[7]~output (
+ .i(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[7]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[7]~output .bus_hold = "false";
+defparam \rgb[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y12_N16
+cycloneive_io_obuf \rgb[8]~output (
+ .i(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[8]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[8]~output .bus_hold = "false";
+defparam \rgb[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N9
+cycloneive_io_obuf \rgb[9]~output (
+ .i(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[9]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[9]~output .bus_hold = "false";
+defparam \rgb[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y14_N23
+cycloneive_io_obuf \rgb[10]~output (
+ .i(\vga_ctrl_inst|rgb[10]~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[10]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[10]~output .bus_hold = "false";
+defparam \rgb[10]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N2
+cycloneive_io_obuf \rgb[11]~output (
+ .i(\vga_ctrl_inst|rgb[11]~5_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[11]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[11]~output .bus_hold = "false";
+defparam \rgb[11]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y18_N16
+cycloneive_io_obuf \rgb[12]~output (
+ .i(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[12]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[12]~output .bus_hold = "false";
+defparam \rgb[12]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y18_N23
+cycloneive_io_obuf \rgb[13]~output (
+ .i(\vga_ctrl_inst|rgb[11]~5_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[13]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[13]~output .bus_hold = "false";
+defparam \rgb[13]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y19_N9
+cycloneive_io_obuf \rgb[14]~output (
+ .i(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[14]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[14]~output .bus_hold = "false";
+defparam \rgb[14]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y20_N23
+cycloneive_io_obuf \rgb[15]~output (
+ .i(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[15]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[15]~output .bus_hold = "false";
+defparam \rgb[15]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
+// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add0~0_combout ),
+ .cout(\vga_ctrl_inst|Add0~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
+defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y3_N0
+cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
+// Equation(s):
+// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y4_N1
+cycloneive_io_ibuf \sys_rst_n~input (
+ .i(sys_rst_n),
+ .ibar(gnd),
+ .o(\sys_rst_n~input_o ));
+// synopsys translate_off
+defparam \sys_rst_n~input .bus_hold = "false";
+defparam \sys_rst_n~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: FF_X35_Y3_N1
+dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .asdata(vcc),
+ .clrn(\sys_rst_n~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y3_N10
+cycloneive_lcell_comb \rst_n~0 (
+// Equation(s):
+// \rst_n~0_combout = ((!\sys_rst_n~input_o ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked )
+
+ .dataa(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .datac(\sys_rst_n~input_o ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\rst_n~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \rst_n~0 .lut_mask = 16'h7F7F;
+defparam \rst_n~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: CLKCTRL_G16
+cycloneive_clkctrl \rst_n~0clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\rst_n~0clkctrl_outclk ));
+// synopsys translate_off
+defparam \rst_n~0clkctrl .clock_type = "global clock";
+defparam \rst_n~0clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N9
+dffeas \vga_ctrl_inst|cnt_h[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
+// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [1]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~1 ),
+ .combout(\vga_ctrl_inst|Add0~2_combout ),
+ .cout(\vga_ctrl_inst|Add0~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
+// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~5 ),
+ .combout(\vga_ctrl_inst|Add0~6_combout ),
+ .cout(\vga_ctrl_inst|Add0~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N15
+dffeas \vga_ctrl_inst|cnt_h[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
+// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~7 ),
+ .combout(\vga_ctrl_inst|Add0~8_combout ),
+ .cout(\vga_ctrl_inst|Add0~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N17
+dffeas \vga_ctrl_inst|cnt_h[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
+// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~9 ),
+ .combout(\vga_ctrl_inst|Add0~10_combout ),
+ .cout(\vga_ctrl_inst|Add0~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
+// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~11 ),
+ .combout(\vga_ctrl_inst|Add0~12_combout ),
+ .cout(\vga_ctrl_inst|Add0~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N21
+dffeas \vga_ctrl_inst|cnt_h[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~12_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
+// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~13 ),
+ .combout(\vga_ctrl_inst|Add0~14_combout ),
+ .cout(\vga_ctrl_inst|Add0~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N23
+dffeas \vga_ctrl_inst|cnt_h[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~14_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
+// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~15 ),
+ .combout(\vga_ctrl_inst|Add0~16_combout ),
+ .cout(\vga_ctrl_inst|Add0~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~18_combout = \vga_ctrl_inst|Add0~17 $ (\vga_ctrl_inst|cnt_h [9])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(\vga_ctrl_inst|Add0~17 ),
+ .combout(\vga_ctrl_inst|Add0~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h0FF0;
+defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~1_combout = (!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|Add0~18_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|Add0~18_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h3030;
+defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N1
+dffeas \vga_ctrl_inst|cnt_h[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & !\vga_ctrl_inst|Equal0~3_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add0~10_combout ),
+ .datac(\vga_ctrl_inst|Equal0~3_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h0C0C;
+defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y23_N25
+dffeas \vga_ctrl_inst|cnt_h[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|cnt_h [5] & !\vga_ctrl_inst|cnt_h [6])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(\vga_ctrl_inst|cnt_h [5]),
+ .datad(\vga_ctrl_inst|cnt_h [6]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0008;
+defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N11
+dffeas \vga_ctrl_inst|cnt_h[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~1_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [1])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(\vga_ctrl_inst|cnt_h [3]),
+ .datac(\vga_ctrl_inst|cnt_h [0]),
+ .datad(\vga_ctrl_inst|cnt_h [1]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Equal0~2_combout & (\vga_ctrl_inst|Equal0~1_combout & !\vga_ctrl_inst|cnt_h [7])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [4]),
+ .datab(\vga_ctrl_inst|Equal0~2_combout ),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [7]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'h0080;
+defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & !\vga_ctrl_inst|Equal0~3_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add0~16_combout ),
+ .datac(\vga_ctrl_inst|Equal0~3_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h0C0C;
+defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N3
+dffeas \vga_ctrl_inst|cnt_h[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan2~0_combout = (!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|cnt_h [9])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan2~0 .lut_mask = 16'h0033;
+defparam \vga_ctrl_inst|LessThan2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # (((\vga_ctrl_inst|cnt_h [6] & \vga_ctrl_inst|cnt_h [5])) # (!\vga_ctrl_inst|LessThan2~0_combout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(\vga_ctrl_inst|LessThan2~0_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [5]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hEFAF;
+defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~9 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[0]~9_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~0_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [0]))))
+
+ .dataa(\vga_ctrl_inst|Add1~0_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [0]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[0]~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0]~9 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[0]~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N29
+dffeas \vga_ctrl_inst|cnt_v[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[0]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~8 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[2]~8_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~4_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [2]))))
+
+ .dataa(\vga_ctrl_inst|Add1~4_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[2]~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2]~8 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[2]~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N5
+dffeas \vga_ctrl_inst|cnt_v[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[2]~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~6 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[4]~6_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~8_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [4]))))
+
+ .dataa(\vga_ctrl_inst|Add1~8_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [4]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[4]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4]~6 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[4]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N1
+dffeas \vga_ctrl_inst|cnt_v[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[4]~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
+// Equation(s):
+// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|cnt_v [9] & (\vga_ctrl_inst|cnt_v [3] & (\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [9]),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|cnt_v [4]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0080;
+defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[1]~0_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~2_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [1]))))
+
+ .dataa(\vga_ctrl_inst|Add1~2_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [1]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[1]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1]~0 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[1]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N17
+dffeas \vga_ctrl_inst|cnt_v[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[1]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
+// Equation(s):
+// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [0] & (\vga_ctrl_inst|always1~1_combout & !\vga_ctrl_inst|cnt_v [1])))
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_v [0]),
+ .datac(\vga_ctrl_inst|always1~1_combout ),
+ .datad(\vga_ctrl_inst|cnt_v [1]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0020;
+defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~7 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[3]~7_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~6_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [3]))))
+
+ .dataa(\vga_ctrl_inst|Add1~6_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[3]~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3]~7 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[3]~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N3
+dffeas \vga_ctrl_inst|cnt_v[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[3]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|cnt_v [4]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[5]~2_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~10_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [5]))))
+
+ .dataa(\vga_ctrl_inst|Add1~10_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [5]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[5]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5]~2 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[5]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N19
+dffeas \vga_ctrl_inst|cnt_v[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[5]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
+// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~13 ),
+ .combout(\vga_ctrl_inst|Add1~14_combout ),
+ .cout(\vga_ctrl_inst|Add1~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~4 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[7]~4_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~14_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [7]))))
+
+ .dataa(\vga_ctrl_inst|always1~2_combout ),
+ .datab(\vga_ctrl_inst|Add1~14_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[7]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7]~4 .lut_mask = 16'h44F0;
+defparam \vga_ctrl_inst|cnt_v[7]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y24_N3
+dffeas \vga_ctrl_inst|cnt_v[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[7]~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~18_combout = \vga_ctrl_inst|Add1~17 $ (\vga_ctrl_inst|cnt_v [9])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_v [9]),
+ .cin(\vga_ctrl_inst|Add1~17 ),
+ .combout(\vga_ctrl_inst|Add1~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h0FF0;
+defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[9]~1_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~18_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [9]))))
+
+ .dataa(\vga_ctrl_inst|always1~2_combout ),
+ .datab(\vga_ctrl_inst|Add1~18_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[9]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9]~1 .lut_mask = 16'h44F0;
+defparam \vga_ctrl_inst|cnt_v[9]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y24_N1
+dffeas \vga_ctrl_inst|cnt_v[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[9]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~5 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[6]~5_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~12_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [6]))))
+
+ .dataa(\vga_ctrl_inst|Add1~12_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [6]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[6]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6]~5 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[6]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y24_N5
+dffeas \vga_ctrl_inst|cnt_v[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[6]~5_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
+// Equation(s):
+// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(\vga_ctrl_inst|cnt_v [5]),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|cnt_v [6]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan1~0_combout = ((\vga_ctrl_inst|cnt_v [1]) # ((\vga_ctrl_inst|cnt_v [9]) # (!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|LessThan6~0_combout )
+
+ .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'hFDFF;
+defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~1 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan6~1_combout = (!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [0]),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_v [1]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan6~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan6~1 .lut_mask = 16'h33FF;
+defparam \vga_ctrl_inst|LessThan6~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N30
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~1_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(\vga_ctrl_inst|cnt_v [9]),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|cnt_v [6]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~2_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|LessThan6~1_combout & (\vga_ctrl_inst|pix_data_req~1_combout )) # (!\vga_ctrl_inst|LessThan6~1_combout & ((\vga_ctrl_inst|always1~0_combout ))))) #
+// (!\vga_ctrl_inst|LessThan6~0_combout & (((\vga_ctrl_inst|always1~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
+ .datab(\vga_ctrl_inst|LessThan6~1_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'hF780;
+defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~1 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan2~1_combout = (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(\vga_ctrl_inst|cnt_h [4]),
+ .datad(\vga_ctrl_inst|cnt_h [5]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan2~1 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|LessThan2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|rgb_valid~0 (
+// Equation(s):
+// \vga_ctrl_inst|rgb_valid~0_combout = (\vga_ctrl_inst|Equal0~0_combout & (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|LessThan2~0_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout & (((\vga_ctrl_inst|cnt_h [7] &
+// !\vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|LessThan2~0_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|LessThan2~0_combout ),
+ .datad(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb_valid~0 .lut_mask = 16'h0745;
+defparam \vga_ctrl_inst|rgb_valid~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [1]),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~1_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
+defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~1_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~3_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
+defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~3_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~5_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
+defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~5_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~7_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005;
+defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~7_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~9_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00AF;
+defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
+// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~9_cout ),
+ .combout(\vga_ctrl_inst|Add2~10_combout ),
+ .cout(\vga_ctrl_inst|Add2~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
+defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
+// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~11 ),
+ .combout(\vga_ctrl_inst|Add2~12_combout ),
+ .cout(\vga_ctrl_inst|Add2~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hCC00;
+defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
+// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~13 ),
+ .combout(\vga_ctrl_inst|Add2~14_combout ),
+ .cout(\vga_ctrl_inst|Add2~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505;
+defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~16_combout = \vga_ctrl_inst|cnt_h [9] $ (\vga_ctrl_inst|Add2~15 )
+
+ .dataa(\vga_ctrl_inst|cnt_h [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add2~15 ),
+ .combout(\vga_ctrl_inst|Add2~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5A5A;
+defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N2
+cycloneive_lcell_comb \vga_pic_inst|LessThan6~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan6~0_combout = ((\vga_pic_inst|LessThan14~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_ctrl_inst|Add2~14_combout ))) # (!\vga_ctrl_inst|pix_data_req~4_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datab(\vga_pic_inst|LessThan14~0_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_ctrl_inst|Add2~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan6~0 .lut_mask = 16'hFFFD;
+defparam \vga_pic_inst|LessThan6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|always1~0_combout & \vga_ctrl_inst|cnt_v [9])
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h5050;
+defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan4~0_combout = (\vga_ctrl_inst|LessThan2~0_combout & (((!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|cnt_h [7])))
+
+ .dataa(\vga_ctrl_inst|Equal0~1_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|LessThan2~0_combout ),
+ .datad(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan4~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h7030;
+defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~3_combout = ((!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout )
+
+ .dataa(\vga_ctrl_inst|Equal0~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'h5755;
+defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (!\vga_ctrl_inst|LessThan4~0_combout & \vga_ctrl_inst|pix_data_req~3_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|LessThan4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'h0100;
+defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N2
+cycloneive_lcell_comb \vga_pic_inst|pix_data~4 (
+// Equation(s):
+// \vga_pic_inst|pix_data~4_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~4 .lut_mask = 16'h00CC;
+defparam \vga_pic_inst|pix_data~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N4
+cycloneive_lcell_comb \vga_pic_inst|pix_data~9 (
+// Equation(s):
+// \vga_pic_inst|pix_data~9_combout = (\vga_pic_inst|pix_data~8_combout & ((\vga_pic_inst|LessThan6~0_combout ) # ((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data~8_combout &
+// (((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~8_combout ),
+ .datab(\vga_pic_inst|LessThan6~0_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_pic_inst|pix_data~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~9 .lut_mask = 16'h8F88;
+defparam \vga_pic_inst|pix_data~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N8
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~0_combout = (\vga_ctrl_inst|Add2~12_combout ) # ((\vga_ctrl_inst|Add2~10_combout ) # ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~10_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~0 .lut_mask = 16'hFEFF;
+defparam \vga_pic_inst|LessThan17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N14
+cycloneive_lcell_comb \vga_pic_inst|pix_data~6 (
+// Equation(s):
+// \vga_pic_inst|pix_data~6_combout = ((\vga_pic_inst|LessThan17~0_combout & ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout )
+
+ .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
+ .datab(\vga_pic_inst|pix_data~4_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_pic_inst|LessThan17~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~6 .lut_mask = 16'hF755;
+defparam \vga_pic_inst|pix_data~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N22
+cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~10 (
+// Equation(s):
+// \vga_pic_inst|pix_data[4]~10_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[4]~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4]~10 .lut_mask = 16'h0FFF;
+defparam \vga_pic_inst|pix_data[4]~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N4
+cycloneive_lcell_comb \vga_pic_inst|pix_data~11 (
+// Equation(s):
+// \vga_pic_inst|pix_data~11_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~10_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~14_combout ),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~11 .lut_mask = 16'h0080;
+defparam \vga_pic_inst|pix_data~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N24
+cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
+// Equation(s):
+// \vga_pic_inst|pix_data~12_combout = (\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data[4]~10_combout ) # (!\vga_pic_inst|pix_data~11_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|LessThan17~0_combout ))
+
+ .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
+ .datab(\vga_pic_inst|LessThan17~0_combout ),
+ .datac(\vga_pic_inst|pix_data[4]~10_combout ),
+ .datad(\vga_pic_inst|pix_data~11_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'hE4EE;
+defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
+// Equation(s):
+// \vga_pic_inst|pix_data~13_combout = ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout ))) # (!\vga_pic_inst|pix_data~12_combout )
+
+ .dataa(\vga_pic_inst|pix_data[4]~7_combout ),
+ .datab(\vga_pic_inst|pix_data~9_combout ),
+ .datac(\vga_pic_inst|pix_data~6_combout ),
+ .datad(\vga_pic_inst|pix_data~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'h80FF;
+defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N17
+dffeas \vga_pic_inst|pix_data[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[0]~0 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[0]~0_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_ctrl_inst|rgb_valid~0_combout & (\vga_pic_inst|pix_data [0] & !\vga_ctrl_inst|pix_data_req~0_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datac(\vga_pic_inst|pix_data [0]),
+ .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[0]~0 .lut_mask = 16'h0040;
+defparam \vga_ctrl_inst|rgb[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~7 (
+// Equation(s):
+// \vga_pic_inst|pix_data[4]~7_combout = (!\vga_ctrl_inst|Add2~16_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|Add2~12_combout ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[4]~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4]~7 .lut_mask = 16'h0700;
+defparam \vga_pic_inst|pix_data[4]~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N18
+cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
+// Equation(s):
+// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout )))
+
+ .dataa(\vga_pic_inst|pix_data~15_combout ),
+ .datab(\vga_pic_inst|pix_data[4]~7_combout ),
+ .datac(\vga_pic_inst|pix_data~9_combout ),
+ .datad(\vga_pic_inst|pix_data~6_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'hEAAA;
+defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N19
+dffeas \vga_pic_inst|pix_data[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~16_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~1 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[1]~1_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [4])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [4]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[1]~1 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[1]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
+// Equation(s):
+// \vga_pic_inst|pix_data~25_combout = (\vga_ctrl_inst|Add2~16_combout & (((!\vga_pic_inst|LessThan17~0_combout )))) # (!\vga_ctrl_inst|Add2~16_combout & ((\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data~17_combout )) #
+// (!\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_pic_inst|LessThan17~0_combout )))))
+
+ .dataa(\vga_pic_inst|pix_data~17_combout ),
+ .datab(\vga_ctrl_inst|Add2~16_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_pic_inst|LessThan17~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~25_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h20EF;
+defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y23_N13
+dffeas \vga_pic_inst|pix_data[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~25_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[5]~2 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[5]~2_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [8])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[5]~2 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[5]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
+// Equation(s):
+// \vga_pic_inst|pix_data~18_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~10_combout )) # (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout
+// ))))
+
+ .dataa(\vga_ctrl_inst|Add2~14_combout ),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h4060;
+defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~14 (
+// Equation(s):
+// \vga_pic_inst|pix_data~14_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~14 .lut_mask = 16'h0030;
+defparam \vga_pic_inst|pix_data~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N30
+cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
+// Equation(s):
+// \vga_pic_inst|pix_data~26_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|pix_data~14_combout ))) # (!\vga_ctrl_inst|Add2~16_combout & (\vga_pic_inst|pix_data~18_combout )))) #
+// (!\vga_ctrl_inst|pix_data_req~4_combout & (((\vga_pic_inst|pix_data~14_combout ))))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datab(\vga_pic_inst|pix_data~18_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_pic_inst|pix_data~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~26_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hFD08;
+defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y24_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
+// Equation(s):
+// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|pix_data~26_combout & \vga_pic_inst|pix_data~6_combout )
+
+ .dataa(gnd),
+ .datab(\vga_pic_inst|pix_data~26_combout ),
+ .datac(gnd),
+ .datad(\vga_pic_inst|pix_data~6_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~19_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCC00;
+defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y24_N1
+dffeas \vga_pic_inst|pix_data[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~19_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[7]~3_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [9])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N6
+cycloneive_lcell_comb \vga_pic_inst|LessThan2~2 (
+// Equation(s):
+// \vga_pic_inst|LessThan2~2_combout = (\vga_pic_inst|LessThan17~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout ))
+
+ .dataa(\vga_pic_inst|LessThan17~0_combout ),
+ .datab(\vga_ctrl_inst|Add2~16_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan2~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan2~2 .lut_mask = 16'hEEFF;
+defparam \vga_pic_inst|LessThan2~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y24_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
+// Equation(s):
+// \vga_pic_inst|pix_data~20_combout = (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|pix_data_req~4_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h0500;
+defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y24_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
+// Equation(s):
+// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|LessThan2~2_combout & ((\vga_pic_inst|pix_data~26_combout ) # ((\vga_pic_inst|pix_data~4_combout & \vga_pic_inst|pix_data~20_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~4_combout ),
+ .datab(\vga_pic_inst|pix_data~26_combout ),
+ .datac(\vga_pic_inst|LessThan2~2_combout ),
+ .datad(\vga_pic_inst|pix_data~20_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~21_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'hE0C0;
+defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y24_N27
+dffeas \vga_pic_inst|pix_data[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~21_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~4 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[10]~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [10])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [10]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[10]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[10]~4 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[10]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
+// Equation(s):
+// \vga_pic_inst|pix_data~22_combout = ((\vga_pic_inst|pix_data[4]~5_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))) # (!\vga_pic_inst|LessThan6~0_combout )
+
+ .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
+ .datab(\vga_pic_inst|LessThan6~0_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_pic_inst|pix_data~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h3B33;
+defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
+// Equation(s):
+// \vga_pic_inst|pix_data~23_combout = ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout )))) # (!\vga_pic_inst|pix_data~12_combout )
+
+ .dataa(\vga_pic_inst|LessThan2~2_combout ),
+ .datab(\vga_pic_inst|pix_data~12_combout ),
+ .datac(\vga_pic_inst|pix_data~22_combout ),
+ .datad(\vga_pic_inst|pix_data[4]~7_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~23_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'hF733;
+defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N29
+dffeas \vga_pic_inst|pix_data[13] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~23_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[11]~5 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[11]~5_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [13] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_pic_inst|pix_data [13]),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[11]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[11]~5 .lut_mask = 16'h0040;
+defparam \vga_ctrl_inst|rgb[11]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N30
+cycloneive_lcell_comb \vga_pic_inst|pix_data~24 (
+// Equation(s):
+// \vga_pic_inst|pix_data~24_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~15_combout ),
+ .datab(\vga_pic_inst|pix_data[4]~7_combout ),
+ .datac(\vga_pic_inst|pix_data~22_combout ),
+ .datad(\vga_pic_inst|LessThan2~2_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~24_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~24 .lut_mask = 16'hEAEE;
+defparam \vga_pic_inst|pix_data~24 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N31
+dffeas \vga_pic_inst|pix_data[15] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~24_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~6 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[12]~6_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [15] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_pic_inst|pix_data [15]),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[12]~6 .lut_mask = 16'h0040;
+defparam \vga_ctrl_inst|rgb[12]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+endmodule
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_slow.vo b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_slow.vo
index d5b549e..ef7d7ec 100644
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_slow.vo
+++ b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_slow.vo
@@ -1,2833 +1,2833 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-// VENDOR "Altera"
-// PROGRAM "Quartus II 64-Bit"
-// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version"
-
-// DATE "06/02/2023 04:42:20"
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This Verilog file should be used for ModelSim (Verilog) only
-//
-
-`timescale 1 ps/ 1 ps
-
-module vga_colorbar (
- sys_clk,
- sys_rst_n,
- hsync,
- vsync,
- rgb);
-input sys_clk;
-input sys_rst_n;
-output hsync;
-output vsync;
-output [15:0] rgb;
-
-// Design Ports Information
-// hsync => Location: PIN_AA18, I/O Standard: 2.5 V, Current Strength: Default
-// vsync => Location: PIN_AB17, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[0] => Location: PIN_AB18, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[1] => Location: PIN_AA19, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[2] => Location: PIN_AB19, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[3] => Location: PIN_Y21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[4] => Location: PIN_W19, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[5] => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[6] => Location: PIN_U21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[7] => Location: PIN_U22, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[8] => Location: PIN_N20, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[9] => Location: PIN_N21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[10] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[11] => Location: PIN_M22, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[12] => Location: PIN_L21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[13] => Location: PIN_L22, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[14] => Location: PIN_K21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[15] => Location: PIN_J21, I/O Standard: 2.5 V, Current Strength: Default
-// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
-// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
-
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-tri1 devclrn;
-tri1 devpor;
-tri1 devoe;
-// synopsys translate_off
-initial $sdf_annotate("vga_colorbar_8_1200mv_0c_v_slow.sdo");
-// synopsys translate_on
-
-wire \vga_ctrl_inst|Add0~4_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
-wire \vga_ctrl_inst|Add1~0_combout ;
-wire \vga_ctrl_inst|Add1~2_combout ;
-wire \vga_ctrl_inst|Add1~4_combout ;
-wire \vga_ctrl_inst|Add1~6_combout ;
-wire \vga_ctrl_inst|Add1~8_combout ;
-wire \vga_ctrl_inst|Add1~10_combout ;
-wire \vga_ctrl_inst|Add1~12_combout ;
-wire \vga_ctrl_inst|Add1~16_combout ;
-wire \vga_ctrl_inst|Equal0~0_combout ;
-wire \vga_ctrl_inst|cnt_v[8]~3_combout ;
-wire \vga_pic_inst|pix_data[4]~5_combout ;
-wire \vga_pic_inst|pix_data~8_combout ;
-wire \vga_pic_inst|pix_data~15_combout ;
-wire \vga_pic_inst|pix_data~17_combout ;
-wire \sys_clk~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~0_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
-wire \sys_rst_n~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
-wire \rst_n~0_combout ;
-wire \rst_n~0clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~1 ;
-wire \vga_ctrl_inst|Add0~3 ;
-wire \vga_ctrl_inst|Add0~5 ;
-wire \vga_ctrl_inst|Add0~6_combout ;
-wire \vga_ctrl_inst|Add0~7 ;
-wire \vga_ctrl_inst|Add0~8_combout ;
-wire \vga_ctrl_inst|Add0~9 ;
-wire \vga_ctrl_inst|Add0~11 ;
-wire \vga_ctrl_inst|Add0~12_combout ;
-wire \vga_ctrl_inst|Add0~13 ;
-wire \vga_ctrl_inst|Add0~14_combout ;
-wire \vga_ctrl_inst|Add0~15 ;
-wire \vga_ctrl_inst|Add0~16_combout ;
-wire \vga_ctrl_inst|Add0~17 ;
-wire \vga_ctrl_inst|Add0~18_combout ;
-wire \vga_ctrl_inst|cnt_h~1_combout ;
-wire \vga_ctrl_inst|Add0~10_combout ;
-wire \vga_ctrl_inst|cnt_h~0_combout ;
-wire \vga_ctrl_inst|Equal0~2_combout ;
-wire \vga_ctrl_inst|Add0~2_combout ;
-wire \vga_ctrl_inst|Equal0~1_combout ;
-wire \vga_ctrl_inst|Equal0~3_combout ;
-wire \vga_ctrl_inst|cnt_h~2_combout ;
-wire \vga_ctrl_inst|LessThan2~0_combout ;
-wire \vga_ctrl_inst|LessThan0~0_combout ;
-wire \vga_ctrl_inst|cnt_v[0]~9_combout ;
-wire \vga_ctrl_inst|cnt_v[2]~8_combout ;
-wire \vga_ctrl_inst|cnt_v[4]~6_combout ;
-wire \vga_ctrl_inst|always1~1_combout ;
-wire \vga_ctrl_inst|cnt_v[1]~0_combout ;
-wire \vga_ctrl_inst|always1~2_combout ;
-wire \vga_ctrl_inst|cnt_v[3]~7_combout ;
-wire \vga_ctrl_inst|LessThan6~0_combout ;
-wire \vga_ctrl_inst|cnt_v[5]~2_combout ;
-wire \vga_ctrl_inst|Add1~1 ;
-wire \vga_ctrl_inst|Add1~3 ;
-wire \vga_ctrl_inst|Add1~5 ;
-wire \vga_ctrl_inst|Add1~7 ;
-wire \vga_ctrl_inst|Add1~9 ;
-wire \vga_ctrl_inst|Add1~11 ;
-wire \vga_ctrl_inst|Add1~13 ;
-wire \vga_ctrl_inst|Add1~14_combout ;
-wire \vga_ctrl_inst|cnt_v[7]~4_combout ;
-wire \vga_ctrl_inst|Add1~15 ;
-wire \vga_ctrl_inst|Add1~17 ;
-wire \vga_ctrl_inst|Add1~18_combout ;
-wire \vga_ctrl_inst|cnt_v[9]~1_combout ;
-wire \vga_ctrl_inst|cnt_v[6]~5_combout ;
-wire \vga_ctrl_inst|always1~0_combout ;
-wire \vga_ctrl_inst|LessThan1~0_combout ;
-wire \vga_ctrl_inst|LessThan6~1_combout ;
-wire \vga_ctrl_inst|pix_data_req~1_combout ;
-wire \vga_ctrl_inst|pix_data_req~2_combout ;
-wire \vga_ctrl_inst|LessThan2~1_combout ;
-wire \vga_ctrl_inst|rgb_valid~0_combout ;
-wire \vga_ctrl_inst|Add2~1_cout ;
-wire \vga_ctrl_inst|Add2~3_cout ;
-wire \vga_ctrl_inst|Add2~5_cout ;
-wire \vga_ctrl_inst|Add2~7_cout ;
-wire \vga_ctrl_inst|Add2~9_cout ;
-wire \vga_ctrl_inst|Add2~11 ;
-wire \vga_ctrl_inst|Add2~12_combout ;
-wire \vga_ctrl_inst|Add2~10_combout ;
-wire \vga_pic_inst|LessThan14~0_combout ;
-wire \vga_ctrl_inst|Add2~13 ;
-wire \vga_ctrl_inst|Add2~15 ;
-wire \vga_ctrl_inst|Add2~16_combout ;
-wire \vga_ctrl_inst|Add2~14_combout ;
-wire \vga_pic_inst|LessThan6~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~0_combout ;
-wire \vga_ctrl_inst|LessThan4~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~3_combout ;
-wire \vga_ctrl_inst|pix_data_req~4_combout ;
-wire \vga_pic_inst|pix_data~4_combout ;
-wire \vga_pic_inst|pix_data~9_combout ;
-wire \vga_pic_inst|LessThan17~0_combout ;
-wire \vga_pic_inst|pix_data~6_combout ;
-wire \vga_pic_inst|pix_data[4]~10_combout ;
-wire \vga_pic_inst|pix_data~11_combout ;
-wire \vga_pic_inst|pix_data~12_combout ;
-wire \vga_pic_inst|pix_data~13_combout ;
-wire \vga_ctrl_inst|rgb[0]~0_combout ;
-wire \vga_pic_inst|pix_data[4]~7_combout ;
-wire \vga_pic_inst|pix_data~16_combout ;
-wire \vga_ctrl_inst|rgb[1]~1_combout ;
-wire \vga_pic_inst|pix_data~25_combout ;
-wire \vga_ctrl_inst|rgb[5]~2_combout ;
-wire \vga_pic_inst|pix_data~18_combout ;
-wire \vga_pic_inst|pix_data~14_combout ;
-wire \vga_pic_inst|pix_data~26_combout ;
-wire \vga_pic_inst|pix_data~19_combout ;
-wire \vga_ctrl_inst|rgb[7]~3_combout ;
-wire \vga_pic_inst|LessThan2~2_combout ;
-wire \vga_pic_inst|pix_data~20_combout ;
-wire \vga_pic_inst|pix_data~21_combout ;
-wire \vga_ctrl_inst|rgb[10]~4_combout ;
-wire \vga_pic_inst|pix_data~22_combout ;
-wire \vga_pic_inst|pix_data~23_combout ;
-wire \vga_ctrl_inst|rgb[11]~5_combout ;
-wire \vga_pic_inst|pix_data~24_combout ;
-wire \vga_ctrl_inst|rgb[12]~6_combout ;
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
-wire [9:0] \vga_ctrl_inst|cnt_v ;
-wire [9:0] \vga_ctrl_inst|cnt_h ;
-wire [15:0] \vga_pic_inst|pix_data ;
-
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
-
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
-
-// Location: LCCOMB_X35_Y23_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
-// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~3 ),
- .combout(\vga_ctrl_inst|Add0~4_combout ),
- .cout(\vga_ctrl_inst|Add0~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: PLL_2
-cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
- .areset(!\sys_rst_n~input_o ),
- .pfdena(vcc),
- .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .phaseupdown(gnd),
- .phasestep(gnd),
- .scandata(gnd),
- .scanclk(gnd),
- .scanclkena(vcc),
- .configupdate(gnd),
- .clkswitch(gnd),
- .inclk({gnd,\sys_clk~input_o }),
- .phasecounterselect(3'b000),
- .phasedone(),
- .scandataout(),
- .scandone(),
- .activeclock(),
- .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .vcooverrange(),
- .vcounderrange(),
- .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
- .clkbad());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 12;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 12;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 12;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 5989;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 208;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
-// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
-
- .dataa(\vga_ctrl_inst|cnt_v [0]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add1~0_combout ),
- .cout(\vga_ctrl_inst|Add1~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h55AA;
-defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
-// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~1 ),
- .combout(\vga_ctrl_inst|Add1~2_combout ),
- .cout(\vga_ctrl_inst|Add1~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
-// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~3 ),
- .combout(\vga_ctrl_inst|Add1~4_combout ),
- .cout(\vga_ctrl_inst|Add1~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
-// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~5 ),
- .combout(\vga_ctrl_inst|Add1~6_combout ),
- .cout(\vga_ctrl_inst|Add1~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
-// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~7 ),
- .combout(\vga_ctrl_inst|Add1~8_combout ),
- .cout(\vga_ctrl_inst|Add1~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
-// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [5]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~9 ),
- .combout(\vga_ctrl_inst|Add1~10_combout ),
- .cout(\vga_ctrl_inst|Add1~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
-// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [6]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~11 ),
- .combout(\vga_ctrl_inst|Add1~12_combout ),
- .cout(\vga_ctrl_inst|Add1~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N26
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
-// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [8]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~15 ),
- .combout(\vga_ctrl_inst|Add1~16_combout ),
- .cout(\vga_ctrl_inst|Add1~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N13
-dffeas \vga_ctrl_inst|cnt_v[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[8]~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [8] & \vga_ctrl_inst|cnt_h [9])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'hCC00;
-defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N13
-dffeas \vga_ctrl_inst|cnt_h[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N12
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~3 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[8]~3_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~16_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [8]))))
-
- .dataa(\vga_ctrl_inst|Add1~16_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [8]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[8]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8]~3 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[8]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~5 (
-// Equation(s):
-// \vga_pic_inst|pix_data[4]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~16_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~16_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[4]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4]~5 .lut_mask = 16'h00CC;
-defparam \vga_pic_inst|pix_data[4]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~8 (
-// Equation(s):
-// \vga_pic_inst|pix_data~8_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )) # (!\vga_ctrl_inst|Add2~10_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~16_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~8 .lut_mask = 16'hFBFF;
-defparam \vga_pic_inst|pix_data~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N10
-cycloneive_lcell_comb \vga_pic_inst|pix_data~15 (
-// Equation(s):
-// \vga_pic_inst|pix_data~15_combout = (\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|pix_data~11_combout & ((!\vga_pic_inst|pix_data[4]~10_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data~14_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~11_combout ),
- .datab(\vga_pic_inst|pix_data~14_combout ),
- .datac(\vga_pic_inst|pix_data[4]~10_combout ),
- .datad(\vga_pic_inst|pix_data[4]~5_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~15 .lut_mask = 16'h0ACC;
-defparam \vga_pic_inst|pix_data~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
-// Equation(s):
-// \vga_pic_inst|pix_data~17_combout = (\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout )) # (!\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~10_combout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~17_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0C3C;
-defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y15_N22
-cycloneive_io_ibuf \sys_clk~input (
- .i(sys_clk),
- .ibar(gnd),
- .o(\sys_clk~input_o ));
-// synopsys translate_off
-defparam \sys_clk~input .bus_hold = "false";
-defparam \sys_clk~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: CLKCTRL_G8
-cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: IOOBUF_X35_Y0_N30
-cycloneive_io_obuf \hsync~output (
- .i(!\vga_ctrl_inst|LessThan0~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(hsync),
- .obar());
-// synopsys translate_off
-defparam \hsync~output .bus_hold = "false";
-defparam \hsync~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X28_Y0_N2
-cycloneive_io_obuf \vsync~output (
- .i(!\vga_ctrl_inst|LessThan1~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(vsync),
- .obar());
-// synopsys translate_off
-defparam \vsync~output .bus_hold = "false";
-defparam \vsync~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X32_Y0_N2
-cycloneive_io_obuf \rgb[0]~output (
- .i(\vga_ctrl_inst|rgb[0]~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[0]),
- .obar());
-// synopsys translate_off
-defparam \rgb[0]~output .bus_hold = "false";
-defparam \rgb[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X35_Y0_N23
-cycloneive_io_obuf \rgb[1]~output (
- .i(\vga_ctrl_inst|rgb[1]~1_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[1]),
- .obar());
-// synopsys translate_off
-defparam \rgb[1]~output .bus_hold = "false";
-defparam \rgb[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X35_Y0_N16
-cycloneive_io_obuf \rgb[2]~output (
- .i(\vga_ctrl_inst|rgb[0]~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[2]),
- .obar());
-// synopsys translate_off
-defparam \rgb[2]~output .bus_hold = "false";
-defparam \rgb[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y4_N9
-cycloneive_io_obuf \rgb[3]~output (
- .i(\vga_ctrl_inst|rgb[1]~1_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[3]),
- .obar());
-// synopsys translate_off
-defparam \rgb[3]~output .bus_hold = "false";
-defparam \rgb[3]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y3_N9
-cycloneive_io_obuf \rgb[4]~output (
- .i(\vga_ctrl_inst|rgb[1]~1_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[4]),
- .obar());
-// synopsys translate_off
-defparam \rgb[4]~output .bus_hold = "false";
-defparam \rgb[4]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y3_N16
-cycloneive_io_obuf \rgb[5]~output (
- .i(\vga_ctrl_inst|rgb[5]~2_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[5]),
- .obar());
-// synopsys translate_off
-defparam \rgb[5]~output .bus_hold = "false";
-defparam \rgb[5]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y8_N2
-cycloneive_io_obuf \rgb[6]~output (
- .i(\vga_ctrl_inst|rgb[5]~2_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[6]),
- .obar());
-// synopsys translate_off
-defparam \rgb[6]~output .bus_hold = "false";
-defparam \rgb[6]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y8_N9
-cycloneive_io_obuf \rgb[7]~output (
- .i(\vga_ctrl_inst|rgb[7]~3_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[7]),
- .obar());
-// synopsys translate_off
-defparam \rgb[7]~output .bus_hold = "false";
-defparam \rgb[7]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y12_N16
-cycloneive_io_obuf \rgb[8]~output (
- .i(\vga_ctrl_inst|rgb[5]~2_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[8]),
- .obar());
-// synopsys translate_off
-defparam \rgb[8]~output .bus_hold = "false";
-defparam \rgb[8]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y13_N9
-cycloneive_io_obuf \rgb[9]~output (
- .i(\vga_ctrl_inst|rgb[7]~3_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[9]),
- .obar());
-// synopsys translate_off
-defparam \rgb[9]~output .bus_hold = "false";
-defparam \rgb[9]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y14_N23
-cycloneive_io_obuf \rgb[10]~output (
- .i(\vga_ctrl_inst|rgb[10]~4_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[10]),
- .obar());
-// synopsys translate_off
-defparam \rgb[10]~output .bus_hold = "false";
-defparam \rgb[10]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y13_N2
-cycloneive_io_obuf \rgb[11]~output (
- .i(\vga_ctrl_inst|rgb[11]~5_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[11]),
- .obar());
-// synopsys translate_off
-defparam \rgb[11]~output .bus_hold = "false";
-defparam \rgb[11]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y18_N16
-cycloneive_io_obuf \rgb[12]~output (
- .i(\vga_ctrl_inst|rgb[12]~6_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[12]),
- .obar());
-// synopsys translate_off
-defparam \rgb[12]~output .bus_hold = "false";
-defparam \rgb[12]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y18_N23
-cycloneive_io_obuf \rgb[13]~output (
- .i(\vga_ctrl_inst|rgb[11]~5_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[13]),
- .obar());
-// synopsys translate_off
-defparam \rgb[13]~output .bus_hold = "false";
-defparam \rgb[13]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y19_N9
-cycloneive_io_obuf \rgb[14]~output (
- .i(\vga_ctrl_inst|rgb[12]~6_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[14]),
- .obar());
-// synopsys translate_off
-defparam \rgb[14]~output .bus_hold = "false";
-defparam \rgb[14]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y20_N23
-cycloneive_io_obuf \rgb[15]~output (
- .i(\vga_ctrl_inst|rgb[12]~6_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[15]),
- .obar());
-// synopsys translate_off
-defparam \rgb[15]~output .bus_hold = "false";
-defparam \rgb[15]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
-// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add0~0_combout ),
- .cout(\vga_ctrl_inst|Add0~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
-defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y3_N0
-cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
-// Equation(s):
-// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y4_N1
-cycloneive_io_ibuf \sys_rst_n~input (
- .i(sys_rst_n),
- .ibar(gnd),
- .o(\sys_rst_n~input_o ));
-// synopsys translate_off
-defparam \sys_rst_n~input .bus_hold = "false";
-defparam \sys_rst_n~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: FF_X35_Y3_N1
-dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .asdata(vcc),
- .clrn(\sys_rst_n~input_o ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y3_N10
-cycloneive_lcell_comb \rst_n~0 (
-// Equation(s):
-// \rst_n~0_combout = ((!\sys_rst_n~input_o ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked )
-
- .dataa(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .datac(\sys_rst_n~input_o ),
- .datad(gnd),
- .cin(gnd),
- .combout(\rst_n~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \rst_n~0 .lut_mask = 16'h7F7F;
-defparam \rst_n~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: CLKCTRL_G16
-cycloneive_clkctrl \rst_n~0clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\rst_n~0clkctrl_outclk ));
-// synopsys translate_off
-defparam \rst_n~0clkctrl .clock_type = "global clock";
-defparam \rst_n~0clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N9
-dffeas \vga_ctrl_inst|cnt_h[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
-// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~1 ),
- .combout(\vga_ctrl_inst|Add0~2_combout ),
- .cout(\vga_ctrl_inst|Add0~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
-// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~5 ),
- .combout(\vga_ctrl_inst|Add0~6_combout ),
- .cout(\vga_ctrl_inst|Add0~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N15
-dffeas \vga_ctrl_inst|cnt_h[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
-// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~7 ),
- .combout(\vga_ctrl_inst|Add0~8_combout ),
- .cout(\vga_ctrl_inst|Add0~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N17
-dffeas \vga_ctrl_inst|cnt_h[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
-// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
-
- .dataa(\vga_ctrl_inst|cnt_h [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~9 ),
- .combout(\vga_ctrl_inst|Add0~10_combout ),
- .cout(\vga_ctrl_inst|Add0~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
-// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~11 ),
- .combout(\vga_ctrl_inst|Add0~12_combout ),
- .cout(\vga_ctrl_inst|Add0~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N21
-dffeas \vga_ctrl_inst|cnt_h[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~12_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
-// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~13 ),
- .combout(\vga_ctrl_inst|Add0~14_combout ),
- .cout(\vga_ctrl_inst|Add0~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N23
-dffeas \vga_ctrl_inst|cnt_h[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~14_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
-// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~15 ),
- .combout(\vga_ctrl_inst|Add0~16_combout ),
- .cout(\vga_ctrl_inst|Add0~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N26
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~18_combout = \vga_ctrl_inst|Add0~17 $ (\vga_ctrl_inst|cnt_h [9])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(\vga_ctrl_inst|Add0~17 ),
- .combout(\vga_ctrl_inst|Add0~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~1_combout = (!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|Add0~18_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|Add0~18_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h3030;
-defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N1
-dffeas \vga_ctrl_inst|cnt_h[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N24
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & !\vga_ctrl_inst|Equal0~3_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add0~10_combout ),
- .datac(\vga_ctrl_inst|Equal0~3_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h0C0C;
-defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y23_N25
-dffeas \vga_ctrl_inst|cnt_h[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N30
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|cnt_h [5] & !\vga_ctrl_inst|cnt_h [6])))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [9]),
- .datac(\vga_ctrl_inst|cnt_h [5]),
- .datad(\vga_ctrl_inst|cnt_h [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0008;
-defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N11
-dffeas \vga_ctrl_inst|cnt_h[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N28
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~1_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [1])))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(\vga_ctrl_inst|cnt_h [0]),
- .datad(\vga_ctrl_inst|cnt_h [1]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Equal0~2_combout & (\vga_ctrl_inst|Equal0~1_combout & !\vga_ctrl_inst|cnt_h [7])))
-
- .dataa(\vga_ctrl_inst|cnt_h [4]),
- .datab(\vga_ctrl_inst|Equal0~2_combout ),
- .datac(\vga_ctrl_inst|Equal0~1_combout ),
- .datad(\vga_ctrl_inst|cnt_h [7]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'h0080;
-defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & !\vga_ctrl_inst|Equal0~3_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add0~16_combout ),
- .datac(\vga_ctrl_inst|Equal0~3_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h0C0C;
-defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N3
-dffeas \vga_ctrl_inst|cnt_h[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N4
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan2~0_combout = (!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|cnt_h [9])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan2~0 .lut_mask = 16'h0033;
-defparam \vga_ctrl_inst|LessThan2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # (((\vga_ctrl_inst|cnt_h [6] & \vga_ctrl_inst|cnt_h [5])) # (!\vga_ctrl_inst|LessThan2~0_combout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(\vga_ctrl_inst|LessThan2~0_combout ),
- .datad(\vga_ctrl_inst|cnt_h [5]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hEFAF;
-defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N28
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~9 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[0]~9_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~0_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [0]))))
-
- .dataa(\vga_ctrl_inst|Add1~0_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [0]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[0]~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0]~9 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[0]~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N29
-dffeas \vga_ctrl_inst|cnt_v[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[0]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N4
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~8 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[2]~8_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~4_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [2]))))
-
- .dataa(\vga_ctrl_inst|Add1~4_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[2]~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2]~8 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[2]~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N5
-dffeas \vga_ctrl_inst|cnt_v[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[2]~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~6 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[4]~6_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~8_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [4]))))
-
- .dataa(\vga_ctrl_inst|Add1~8_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [4]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[4]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4]~6 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[4]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N1
-dffeas \vga_ctrl_inst|cnt_v[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[4]~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
-// Equation(s):
-// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|cnt_v [9] & (\vga_ctrl_inst|cnt_v [3] & (\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4])))
-
- .dataa(\vga_ctrl_inst|cnt_v [9]),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0080;
-defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[1]~0_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~2_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [1]))))
-
- .dataa(\vga_ctrl_inst|Add1~2_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[1]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1]~0 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[1]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N17
-dffeas \vga_ctrl_inst|cnt_v[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[1]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
-// Equation(s):
-// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [0] & (\vga_ctrl_inst|always1~1_combout & !\vga_ctrl_inst|cnt_v [1])))
-
- .dataa(\vga_ctrl_inst|always1~0_combout ),
- .datab(\vga_ctrl_inst|cnt_v [0]),
- .datac(\vga_ctrl_inst|always1~1_combout ),
- .datad(\vga_ctrl_inst|cnt_v [1]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0020;
-defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~7 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[3]~7_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~6_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [3]))))
-
- .dataa(\vga_ctrl_inst|Add1~6_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [3]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[3]~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3]~7 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[3]~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N3
-dffeas \vga_ctrl_inst|cnt_v[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[3]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0003;
-defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[5]~2_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~10_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [5]))))
-
- .dataa(\vga_ctrl_inst|Add1~10_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [5]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[5]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5]~2 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[5]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N19
-dffeas \vga_ctrl_inst|cnt_v[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[5]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
-// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [7]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~13 ),
- .combout(\vga_ctrl_inst|Add1~14_combout ),
- .cout(\vga_ctrl_inst|Add1~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~4 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[7]~4_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~14_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [7]))))
-
- .dataa(\vga_ctrl_inst|always1~2_combout ),
- .datab(\vga_ctrl_inst|Add1~14_combout ),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[7]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7]~4 .lut_mask = 16'h44F0;
-defparam \vga_ctrl_inst|cnt_v[7]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y24_N3
-dffeas \vga_ctrl_inst|cnt_v[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[7]~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N28
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~18_combout = \vga_ctrl_inst|Add1~17 $ (\vga_ctrl_inst|cnt_v [9])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_v [9]),
- .cin(\vga_ctrl_inst|Add1~17 ),
- .combout(\vga_ctrl_inst|Add1~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[9]~1_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~18_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [9]))))
-
- .dataa(\vga_ctrl_inst|always1~2_combout ),
- .datab(\vga_ctrl_inst|Add1~18_combout ),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[9]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9]~1 .lut_mask = 16'h44F0;
-defparam \vga_ctrl_inst|cnt_v[9]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y24_N1
-dffeas \vga_ctrl_inst|cnt_v[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[9]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N4
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~5 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[6]~5_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~12_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [6]))))
-
- .dataa(\vga_ctrl_inst|Add1~12_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [6]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[6]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6]~5 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[6]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y24_N5
-dffeas \vga_ctrl_inst|cnt_v[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[6]~5_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
-// Equation(s):
-// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
-
- .dataa(\vga_ctrl_inst|cnt_v [8]),
- .datab(\vga_ctrl_inst|cnt_v [5]),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|cnt_v [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan1~0_combout = ((\vga_ctrl_inst|cnt_v [1]) # ((\vga_ctrl_inst|cnt_v [9]) # (!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|LessThan6~0_combout )
-
- .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
- .datab(\vga_ctrl_inst|cnt_v [1]),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|always1~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'hFDFF;
-defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~1 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan6~1_combout = (!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [0]),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_v [1]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan6~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan6~1 .lut_mask = 16'h33FF;
-defparam \vga_ctrl_inst|LessThan6~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N30
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~1_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
-
- .dataa(\vga_ctrl_inst|cnt_v [8]),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|cnt_v [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N24
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~2_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|LessThan6~1_combout & (\vga_ctrl_inst|pix_data_req~1_combout )) # (!\vga_ctrl_inst|LessThan6~1_combout & ((\vga_ctrl_inst|always1~0_combout ))))) #
-// (!\vga_ctrl_inst|LessThan6~0_combout & (((\vga_ctrl_inst|always1~0_combout ))))
-
- .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
- .datab(\vga_ctrl_inst|LessThan6~1_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datad(\vga_ctrl_inst|always1~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'hF780;
-defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N28
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~1 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan2~1_combout = (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [5]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(\vga_ctrl_inst|cnt_h [4]),
- .datad(\vga_ctrl_inst|cnt_h [5]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan2~1 .lut_mask = 16'h0003;
-defparam \vga_ctrl_inst|LessThan2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|rgb_valid~0 (
-// Equation(s):
-// \vga_ctrl_inst|rgb_valid~0_combout = (\vga_ctrl_inst|Equal0~0_combout & (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|LessThan2~0_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout & (((\vga_ctrl_inst|cnt_h [7] &
-// !\vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|LessThan2~0_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~0_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|LessThan2~0_combout ),
- .datad(\vga_ctrl_inst|LessThan2~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb_valid~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb_valid~0 .lut_mask = 16'h0745;
-defparam \vga_ctrl_inst|rgb_valid~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~1_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
-defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~1_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~3_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
-defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~3_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~5_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
-defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~5_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~7_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005;
-defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~7_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~9_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00AF;
-defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
-// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~9_cout ),
- .combout(\vga_ctrl_inst|Add2~10_combout ),
- .cout(\vga_ctrl_inst|Add2~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
-defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
-// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~11 ),
- .combout(\vga_ctrl_inst|Add2~12_combout ),
- .cout(\vga_ctrl_inst|Add2~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N24
-cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hCC00;
-defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
-// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~13 ),
- .combout(\vga_ctrl_inst|Add2~14_combout ),
- .cout(\vga_ctrl_inst|Add2~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505;
-defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~16_combout = \vga_ctrl_inst|cnt_h [9] $ (\vga_ctrl_inst|Add2~15 )
-
- .dataa(\vga_ctrl_inst|cnt_h [9]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\vga_ctrl_inst|Add2~15 ),
- .combout(\vga_ctrl_inst|Add2~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5A5A;
-defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N2
-cycloneive_lcell_comb \vga_pic_inst|LessThan6~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan6~0_combout = ((\vga_pic_inst|LessThan14~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_ctrl_inst|Add2~14_combout ))) # (!\vga_ctrl_inst|pix_data_req~4_combout )
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_pic_inst|LessThan14~0_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan6~0 .lut_mask = 16'hFFFD;
-defparam \vga_pic_inst|LessThan6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N26
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|always1~0_combout & \vga_ctrl_inst|cnt_v [9])
-
- .dataa(\vga_ctrl_inst|always1~0_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h5050;
-defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N4
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan4~0_combout = (\vga_ctrl_inst|LessThan2~0_combout & (((!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|cnt_h [7])))
-
- .dataa(\vga_ctrl_inst|Equal0~1_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|LessThan2~0_combout ),
- .datad(\vga_ctrl_inst|LessThan2~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan4~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h7030;
-defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~3_combout = ((!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout )
-
- .dataa(\vga_ctrl_inst|Equal0~0_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|Equal0~1_combout ),
- .datad(\vga_ctrl_inst|LessThan2~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'h5755;
-defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (!\vga_ctrl_inst|LessThan4~0_combout & \vga_ctrl_inst|pix_data_req~3_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|LessThan4~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'h0100;
-defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~4 (
-// Equation(s):
-// \vga_pic_inst|pix_data~4_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~4 .lut_mask = 16'h00CC;
-defparam \vga_pic_inst|pix_data~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~9 (
-// Equation(s):
-// \vga_pic_inst|pix_data~9_combout = (\vga_pic_inst|pix_data~8_combout & ((\vga_pic_inst|LessThan6~0_combout ) # ((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data~8_combout &
-// (((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~8_combout ),
- .datab(\vga_pic_inst|LessThan6~0_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_pic_inst|pix_data~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~9 .lut_mask = 16'h8F88;
-defparam \vga_pic_inst|pix_data~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N8
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~0_combout = (\vga_ctrl_inst|Add2~12_combout ) # ((\vga_ctrl_inst|Add2~10_combout ) # ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~0 .lut_mask = 16'hFEFF;
-defparam \vga_pic_inst|LessThan17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N14
-cycloneive_lcell_comb \vga_pic_inst|pix_data~6 (
-// Equation(s):
-// \vga_pic_inst|pix_data~6_combout = ((\vga_pic_inst|LessThan17~0_combout & ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout )
-
- .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
- .datab(\vga_pic_inst|pix_data~4_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_pic_inst|LessThan17~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~6 .lut_mask = 16'hF755;
-defparam \vga_pic_inst|pix_data~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N22
-cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~10 (
-// Equation(s):
-// \vga_pic_inst|pix_data[4]~10_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[4]~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4]~10 .lut_mask = 16'h0FFF;
-defparam \vga_pic_inst|pix_data[4]~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~11 (
-// Equation(s):
-// \vga_pic_inst|pix_data~11_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~10_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~11 .lut_mask = 16'h0080;
-defparam \vga_pic_inst|pix_data~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
-// Equation(s):
-// \vga_pic_inst|pix_data~12_combout = (\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data[4]~10_combout ) # (!\vga_pic_inst|pix_data~11_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|LessThan17~0_combout ))
-
- .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
- .datab(\vga_pic_inst|LessThan17~0_combout ),
- .datac(\vga_pic_inst|pix_data[4]~10_combout ),
- .datad(\vga_pic_inst|pix_data~11_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'hE4EE;
-defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N16
-cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
-// Equation(s):
-// \vga_pic_inst|pix_data~13_combout = ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout ))) # (!\vga_pic_inst|pix_data~12_combout )
-
- .dataa(\vga_pic_inst|pix_data[4]~7_combout ),
- .datab(\vga_pic_inst|pix_data~9_combout ),
- .datac(\vga_pic_inst|pix_data~6_combout ),
- .datad(\vga_pic_inst|pix_data~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'h80FF;
-defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N17
-dffeas \vga_pic_inst|pix_data[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N0
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[0]~0 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[0]~0_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_ctrl_inst|rgb_valid~0_combout & (\vga_pic_inst|pix_data [0] & !\vga_ctrl_inst|pix_data_req~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datac(\vga_pic_inst|pix_data [0]),
- .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[0]~0 .lut_mask = 16'h0040;
-defparam \vga_ctrl_inst|rgb[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~7 (
-// Equation(s):
-// \vga_pic_inst|pix_data[4]~7_combout = (!\vga_ctrl_inst|Add2~16_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|Add2~12_combout ))))
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(\vga_ctrl_inst|Add2~14_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[4]~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4]~7 .lut_mask = 16'h0700;
-defparam \vga_pic_inst|pix_data[4]~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
-// Equation(s):
-// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout )))
-
- .dataa(\vga_pic_inst|pix_data~15_combout ),
- .datab(\vga_pic_inst|pix_data[4]~7_combout ),
- .datac(\vga_pic_inst|pix_data~9_combout ),
- .datad(\vga_pic_inst|pix_data~6_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'hEAAA;
-defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N19
-dffeas \vga_pic_inst|pix_data[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~16_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N26
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~1 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[1]~1_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [4])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[1]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[1]~1 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[1]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
-// Equation(s):
-// \vga_pic_inst|pix_data~25_combout = (\vga_ctrl_inst|Add2~16_combout & (((!\vga_pic_inst|LessThan17~0_combout )))) # (!\vga_ctrl_inst|Add2~16_combout & ((\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data~17_combout )) #
-// (!\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_pic_inst|LessThan17~0_combout )))))
-
- .dataa(\vga_pic_inst|pix_data~17_combout ),
- .datab(\vga_ctrl_inst|Add2~16_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_pic_inst|LessThan17~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~25_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h20EF;
-defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y23_N13
-dffeas \vga_pic_inst|pix_data[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~25_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N30
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[5]~2 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[5]~2_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [8])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[5]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[5]~2 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[5]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N28
-cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
-// Equation(s):
-// \vga_pic_inst|pix_data~18_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~10_combout )) # (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout
-// ))))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h4060;
-defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~14 (
-// Equation(s):
-// \vga_pic_inst|pix_data~14_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add2~14_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~14 .lut_mask = 16'h0030;
-defparam \vga_pic_inst|pix_data~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
-// Equation(s):
-// \vga_pic_inst|pix_data~26_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|pix_data~14_combout ))) # (!\vga_ctrl_inst|Add2~16_combout & (\vga_pic_inst|pix_data~18_combout )))) #
-// (!\vga_ctrl_inst|pix_data_req~4_combout & (((\vga_pic_inst|pix_data~14_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_pic_inst|pix_data~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|pix_data~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~26_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hFD08;
-defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
-// Equation(s):
-// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|pix_data~26_combout & \vga_pic_inst|pix_data~6_combout )
-
- .dataa(gnd),
- .datab(\vga_pic_inst|pix_data~26_combout ),
- .datac(gnd),
- .datad(\vga_pic_inst|pix_data~6_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~19_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCC00;
-defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N1
-dffeas \vga_pic_inst|pix_data[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~19_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[7]~3_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [9])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N6
-cycloneive_lcell_comb \vga_pic_inst|LessThan2~2 (
-// Equation(s):
-// \vga_pic_inst|LessThan2~2_combout = (\vga_pic_inst|LessThan17~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout ))
-
- .dataa(\vga_pic_inst|LessThan17~0_combout ),
- .datab(\vga_ctrl_inst|Add2~16_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan2~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan2~2 .lut_mask = 16'hEEFF;
-defparam \vga_pic_inst|LessThan2~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
-// Equation(s):
-// \vga_pic_inst|pix_data~20_combout = (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|pix_data_req~4_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~16_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~20_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h0500;
-defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
-// Equation(s):
-// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|LessThan2~2_combout & ((\vga_pic_inst|pix_data~26_combout ) # ((\vga_pic_inst|pix_data~4_combout & \vga_pic_inst|pix_data~20_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~4_combout ),
- .datab(\vga_pic_inst|pix_data~26_combout ),
- .datac(\vga_pic_inst|LessThan2~2_combout ),
- .datad(\vga_pic_inst|pix_data~20_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~21_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'hE0C0;
-defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N27
-dffeas \vga_pic_inst|pix_data[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~21_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N2
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~4 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[10]~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [10])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [10]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[10]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[10]~4 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[10]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N20
-cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
-// Equation(s):
-// \vga_pic_inst|pix_data~22_combout = ((\vga_pic_inst|pix_data[4]~5_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))) # (!\vga_pic_inst|LessThan6~0_combout )
-
- .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
- .datab(\vga_pic_inst|LessThan6~0_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_pic_inst|pix_data~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h3B33;
-defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N28
-cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
-// Equation(s):
-// \vga_pic_inst|pix_data~23_combout = ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout )))) # (!\vga_pic_inst|pix_data~12_combout )
-
- .dataa(\vga_pic_inst|LessThan2~2_combout ),
- .datab(\vga_pic_inst|pix_data~12_combout ),
- .datac(\vga_pic_inst|pix_data~22_combout ),
- .datad(\vga_pic_inst|pix_data[4]~7_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~23_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'hF733;
-defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N29
-dffeas \vga_pic_inst|pix_data[13] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~23_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [13]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[13] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[11]~5 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[11]~5_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [13] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_pic_inst|pix_data [13]),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[11]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[11]~5 .lut_mask = 16'h0040;
-defparam \vga_ctrl_inst|rgb[11]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data~24 (
-// Equation(s):
-// \vga_pic_inst|pix_data~24_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~15_combout ),
- .datab(\vga_pic_inst|pix_data[4]~7_combout ),
- .datac(\vga_pic_inst|pix_data~22_combout ),
- .datad(\vga_pic_inst|LessThan2~2_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~24_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~24 .lut_mask = 16'hEAEE;
-defparam \vga_pic_inst|pix_data~24 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N31
-dffeas \vga_pic_inst|pix_data[15] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~24_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [15]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[15] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~6 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[12]~6_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [15] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_pic_inst|pix_data [15]),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[12]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[12]~6 .lut_mask = 16'h0040;
-defparam \vga_ctrl_inst|rgb[12]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-endmodule
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus II 32-bit"
+// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
+
+// DATE "04/29/2025 20:26:32"
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This Verilog file should be used for ModelSim (Verilog) only
+//
+
+`timescale 1 ps/ 1 ps
+
+module vga_colorbar (
+ sys_clk,
+ sys_rst_n,
+ hsync,
+ vsync,
+ rgb);
+input sys_clk;
+input sys_rst_n;
+output hsync;
+output vsync;
+output [15:0] rgb;
+
+// Design Ports Information
+// hsync => Location: PIN_AA18, I/O Standard: 2.5 V, Current Strength: Default
+// vsync => Location: PIN_AB17, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[0] => Location: PIN_AB18, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[1] => Location: PIN_AA19, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[2] => Location: PIN_AB19, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[3] => Location: PIN_Y21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[4] => Location: PIN_W19, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[5] => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[6] => Location: PIN_U21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[7] => Location: PIN_U22, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[8] => Location: PIN_N20, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[9] => Location: PIN_N21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[10] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[11] => Location: PIN_M22, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[12] => Location: PIN_L21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[13] => Location: PIN_L22, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[14] => Location: PIN_K21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[15] => Location: PIN_J21, I/O Standard: 2.5 V, Current Strength: Default
+// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
+// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
+
+
+wire gnd;
+wire vcc;
+wire unknown;
+
+assign gnd = 1'b0;
+assign vcc = 1'b1;
+assign unknown = 1'bx;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+// synopsys translate_off
+initial $sdf_annotate("vga_colorbar_8_1200mv_0c_v_slow.sdo");
+// synopsys translate_on
+
+wire \vga_ctrl_inst|Add0~4_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
+wire \vga_ctrl_inst|Add1~0_combout ;
+wire \vga_ctrl_inst|Add1~2_combout ;
+wire \vga_ctrl_inst|Add1~4_combout ;
+wire \vga_ctrl_inst|Add1~6_combout ;
+wire \vga_ctrl_inst|Add1~8_combout ;
+wire \vga_ctrl_inst|Add1~10_combout ;
+wire \vga_ctrl_inst|Add1~12_combout ;
+wire \vga_ctrl_inst|Add1~16_combout ;
+wire \vga_ctrl_inst|Equal0~0_combout ;
+wire \vga_ctrl_inst|cnt_v[8]~3_combout ;
+wire \vga_pic_inst|pix_data[4]~5_combout ;
+wire \vga_pic_inst|pix_data~8_combout ;
+wire \vga_pic_inst|pix_data~15_combout ;
+wire \vga_pic_inst|pix_data~17_combout ;
+wire \sys_clk~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
+wire \vga_ctrl_inst|Add0~0_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
+wire \sys_rst_n~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
+wire \rst_n~0_combout ;
+wire \rst_n~0clkctrl_outclk ;
+wire \vga_ctrl_inst|Add0~1 ;
+wire \vga_ctrl_inst|Add0~3 ;
+wire \vga_ctrl_inst|Add0~5 ;
+wire \vga_ctrl_inst|Add0~6_combout ;
+wire \vga_ctrl_inst|Add0~7 ;
+wire \vga_ctrl_inst|Add0~8_combout ;
+wire \vga_ctrl_inst|Add0~9 ;
+wire \vga_ctrl_inst|Add0~11 ;
+wire \vga_ctrl_inst|Add0~12_combout ;
+wire \vga_ctrl_inst|Add0~13 ;
+wire \vga_ctrl_inst|Add0~14_combout ;
+wire \vga_ctrl_inst|Add0~15 ;
+wire \vga_ctrl_inst|Add0~16_combout ;
+wire \vga_ctrl_inst|Add0~17 ;
+wire \vga_ctrl_inst|Add0~18_combout ;
+wire \vga_ctrl_inst|cnt_h~1_combout ;
+wire \vga_ctrl_inst|Add0~10_combout ;
+wire \vga_ctrl_inst|cnt_h~0_combout ;
+wire \vga_ctrl_inst|Equal0~2_combout ;
+wire \vga_ctrl_inst|Add0~2_combout ;
+wire \vga_ctrl_inst|Equal0~1_combout ;
+wire \vga_ctrl_inst|Equal0~3_combout ;
+wire \vga_ctrl_inst|cnt_h~2_combout ;
+wire \vga_ctrl_inst|LessThan2~0_combout ;
+wire \vga_ctrl_inst|LessThan0~0_combout ;
+wire \vga_ctrl_inst|cnt_v[0]~9_combout ;
+wire \vga_ctrl_inst|cnt_v[2]~8_combout ;
+wire \vga_ctrl_inst|cnt_v[4]~6_combout ;
+wire \vga_ctrl_inst|always1~1_combout ;
+wire \vga_ctrl_inst|cnt_v[1]~0_combout ;
+wire \vga_ctrl_inst|always1~2_combout ;
+wire \vga_ctrl_inst|cnt_v[3]~7_combout ;
+wire \vga_ctrl_inst|LessThan6~0_combout ;
+wire \vga_ctrl_inst|cnt_v[5]~2_combout ;
+wire \vga_ctrl_inst|Add1~1 ;
+wire \vga_ctrl_inst|Add1~3 ;
+wire \vga_ctrl_inst|Add1~5 ;
+wire \vga_ctrl_inst|Add1~7 ;
+wire \vga_ctrl_inst|Add1~9 ;
+wire \vga_ctrl_inst|Add1~11 ;
+wire \vga_ctrl_inst|Add1~13 ;
+wire \vga_ctrl_inst|Add1~14_combout ;
+wire \vga_ctrl_inst|cnt_v[7]~4_combout ;
+wire \vga_ctrl_inst|Add1~15 ;
+wire \vga_ctrl_inst|Add1~17 ;
+wire \vga_ctrl_inst|Add1~18_combout ;
+wire \vga_ctrl_inst|cnt_v[9]~1_combout ;
+wire \vga_ctrl_inst|cnt_v[6]~5_combout ;
+wire \vga_ctrl_inst|always1~0_combout ;
+wire \vga_ctrl_inst|LessThan1~0_combout ;
+wire \vga_ctrl_inst|LessThan6~1_combout ;
+wire \vga_ctrl_inst|pix_data_req~1_combout ;
+wire \vga_ctrl_inst|pix_data_req~2_combout ;
+wire \vga_ctrl_inst|LessThan2~1_combout ;
+wire \vga_ctrl_inst|rgb_valid~0_combout ;
+wire \vga_ctrl_inst|Add2~1_cout ;
+wire \vga_ctrl_inst|Add2~3_cout ;
+wire \vga_ctrl_inst|Add2~5_cout ;
+wire \vga_ctrl_inst|Add2~7_cout ;
+wire \vga_ctrl_inst|Add2~9_cout ;
+wire \vga_ctrl_inst|Add2~11 ;
+wire \vga_ctrl_inst|Add2~12_combout ;
+wire \vga_ctrl_inst|Add2~10_combout ;
+wire \vga_pic_inst|LessThan14~0_combout ;
+wire \vga_ctrl_inst|Add2~13 ;
+wire \vga_ctrl_inst|Add2~15 ;
+wire \vga_ctrl_inst|Add2~16_combout ;
+wire \vga_ctrl_inst|Add2~14_combout ;
+wire \vga_pic_inst|LessThan6~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~0_combout ;
+wire \vga_ctrl_inst|LessThan4~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~3_combout ;
+wire \vga_ctrl_inst|pix_data_req~4_combout ;
+wire \vga_pic_inst|pix_data~4_combout ;
+wire \vga_pic_inst|pix_data~9_combout ;
+wire \vga_pic_inst|LessThan17~0_combout ;
+wire \vga_pic_inst|pix_data~6_combout ;
+wire \vga_pic_inst|pix_data[4]~10_combout ;
+wire \vga_pic_inst|pix_data~11_combout ;
+wire \vga_pic_inst|pix_data~12_combout ;
+wire \vga_pic_inst|pix_data~13_combout ;
+wire \vga_ctrl_inst|rgb[0]~0_combout ;
+wire \vga_pic_inst|pix_data[4]~7_combout ;
+wire \vga_pic_inst|pix_data~16_combout ;
+wire \vga_ctrl_inst|rgb[1]~1_combout ;
+wire \vga_pic_inst|pix_data~25_combout ;
+wire \vga_ctrl_inst|rgb[5]~2_combout ;
+wire \vga_pic_inst|pix_data~18_combout ;
+wire \vga_pic_inst|pix_data~14_combout ;
+wire \vga_pic_inst|pix_data~26_combout ;
+wire \vga_pic_inst|pix_data~19_combout ;
+wire \vga_ctrl_inst|rgb[7]~3_combout ;
+wire \vga_pic_inst|LessThan2~2_combout ;
+wire \vga_pic_inst|pix_data~20_combout ;
+wire \vga_pic_inst|pix_data~21_combout ;
+wire \vga_ctrl_inst|rgb[10]~4_combout ;
+wire \vga_pic_inst|pix_data~22_combout ;
+wire \vga_pic_inst|pix_data~23_combout ;
+wire \vga_ctrl_inst|rgb[11]~5_combout ;
+wire \vga_pic_inst|pix_data~24_combout ;
+wire \vga_ctrl_inst|rgb[12]~6_combout ;
+wire [9:0] \vga_ctrl_inst|cnt_h ;
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
+wire [9:0] \vga_ctrl_inst|cnt_v ;
+wire [15:0] \vga_pic_inst|pix_data ;
+
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
+
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
+
+// Location: LCCOMB_X35_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
+// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~3 ),
+ .combout(\vga_ctrl_inst|Add0~4_combout ),
+ .cout(\vga_ctrl_inst|Add0~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: PLL_2
+cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
+ .areset(!\sys_rst_n~input_o ),
+ .pfdena(vcc),
+ .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .phaseupdown(gnd),
+ .phasestep(gnd),
+ .scandata(gnd),
+ .scanclk(gnd),
+ .scanclkena(vcc),
+ .configupdate(gnd),
+ .clkswitch(gnd),
+ .inclk({gnd,\sys_clk~input_o }),
+ .phasecounterselect(3'b000),
+ .phasedone(),
+ .scandataout(),
+ .scandone(),
+ .activeclock(),
+ .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .vcooverrange(),
+ .vcounderrange(),
+ .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
+ .clkbad());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 5989;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 208;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
+// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
+
+ .dataa(\vga_ctrl_inst|cnt_v [0]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add1~0_combout ),
+ .cout(\vga_ctrl_inst|Add1~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h55AA;
+defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
+// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~1 ),
+ .combout(\vga_ctrl_inst|Add1~2_combout ),
+ .cout(\vga_ctrl_inst|Add1~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
+// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~3 ),
+ .combout(\vga_ctrl_inst|Add1~4_combout ),
+ .cout(\vga_ctrl_inst|Add1~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
+// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~5 ),
+ .combout(\vga_ctrl_inst|Add1~6_combout ),
+ .cout(\vga_ctrl_inst|Add1~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
+// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~7 ),
+ .combout(\vga_ctrl_inst|Add1~8_combout ),
+ .cout(\vga_ctrl_inst|Add1~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
+// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [5]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~9 ),
+ .combout(\vga_ctrl_inst|Add1~10_combout ),
+ .cout(\vga_ctrl_inst|Add1~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
+// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [6]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~11 ),
+ .combout(\vga_ctrl_inst|Add1~12_combout ),
+ .cout(\vga_ctrl_inst|Add1~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N26
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
+// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~15 ),
+ .combout(\vga_ctrl_inst|Add1~16_combout ),
+ .cout(\vga_ctrl_inst|Add1~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N13
+dffeas \vga_ctrl_inst|cnt_v[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[8]~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [8] & \vga_ctrl_inst|cnt_h [9])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'hCC00;
+defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N13
+dffeas \vga_ctrl_inst|cnt_h[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~3 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[8]~3_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~16_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [8]))))
+
+ .dataa(\vga_ctrl_inst|Add1~16_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [8]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[8]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8]~3 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[8]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~5 (
+// Equation(s):
+// \vga_pic_inst|pix_data[4]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~16_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[4]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4]~5 .lut_mask = 16'h00CC;
+defparam \vga_pic_inst|pix_data[4]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~8 (
+// Equation(s):
+// \vga_pic_inst|pix_data~8_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )) # (!\vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~10_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~8 .lut_mask = 16'hFBFF;
+defparam \vga_pic_inst|pix_data~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data~15 (
+// Equation(s):
+// \vga_pic_inst|pix_data~15_combout = (\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|pix_data~11_combout & ((!\vga_pic_inst|pix_data[4]~10_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data~14_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~11_combout ),
+ .datab(\vga_pic_inst|pix_data~14_combout ),
+ .datac(\vga_pic_inst|pix_data[4]~10_combout ),
+ .datad(\vga_pic_inst|pix_data[4]~5_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~15 .lut_mask = 16'h0ACC;
+defparam \vga_pic_inst|pix_data~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
+// Equation(s):
+// \vga_pic_inst|pix_data~17_combout = (\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout )) # (!\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~17_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0C3C;
+defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y15_N22
+cycloneive_io_ibuf \sys_clk~input (
+ .i(sys_clk),
+ .ibar(gnd),
+ .o(\sys_clk~input_o ));
+// synopsys translate_off
+defparam \sys_clk~input .bus_hold = "false";
+defparam \sys_clk~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: CLKCTRL_G8
+cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N30
+cycloneive_io_obuf \hsync~output (
+ .i(!\vga_ctrl_inst|LessThan0~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(hsync),
+ .obar());
+// synopsys translate_off
+defparam \hsync~output .bus_hold = "false";
+defparam \hsync~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y0_N2
+cycloneive_io_obuf \vsync~output (
+ .i(!\vga_ctrl_inst|LessThan1~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(vsync),
+ .obar());
+// synopsys translate_off
+defparam \vsync~output .bus_hold = "false";
+defparam \vsync~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y0_N2
+cycloneive_io_obuf \rgb[0]~output (
+ .i(\vga_ctrl_inst|rgb[0]~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[0]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[0]~output .bus_hold = "false";
+defparam \rgb[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N23
+cycloneive_io_obuf \rgb[1]~output (
+ .i(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[1]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[1]~output .bus_hold = "false";
+defparam \rgb[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N16
+cycloneive_io_obuf \rgb[2]~output (
+ .i(\vga_ctrl_inst|rgb[0]~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[2]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[2]~output .bus_hold = "false";
+defparam \rgb[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y4_N9
+cycloneive_io_obuf \rgb[3]~output (
+ .i(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[3]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[3]~output .bus_hold = "false";
+defparam \rgb[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y3_N9
+cycloneive_io_obuf \rgb[4]~output (
+ .i(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[4]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[4]~output .bus_hold = "false";
+defparam \rgb[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y3_N16
+cycloneive_io_obuf \rgb[5]~output (
+ .i(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[5]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[5]~output .bus_hold = "false";
+defparam \rgb[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y8_N2
+cycloneive_io_obuf \rgb[6]~output (
+ .i(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[6]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[6]~output .bus_hold = "false";
+defparam \rgb[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y8_N9
+cycloneive_io_obuf \rgb[7]~output (
+ .i(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[7]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[7]~output .bus_hold = "false";
+defparam \rgb[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y12_N16
+cycloneive_io_obuf \rgb[8]~output (
+ .i(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[8]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[8]~output .bus_hold = "false";
+defparam \rgb[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N9
+cycloneive_io_obuf \rgb[9]~output (
+ .i(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[9]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[9]~output .bus_hold = "false";
+defparam \rgb[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y14_N23
+cycloneive_io_obuf \rgb[10]~output (
+ .i(\vga_ctrl_inst|rgb[10]~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[10]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[10]~output .bus_hold = "false";
+defparam \rgb[10]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N2
+cycloneive_io_obuf \rgb[11]~output (
+ .i(\vga_ctrl_inst|rgb[11]~5_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[11]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[11]~output .bus_hold = "false";
+defparam \rgb[11]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y18_N16
+cycloneive_io_obuf \rgb[12]~output (
+ .i(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[12]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[12]~output .bus_hold = "false";
+defparam \rgb[12]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y18_N23
+cycloneive_io_obuf \rgb[13]~output (
+ .i(\vga_ctrl_inst|rgb[11]~5_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[13]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[13]~output .bus_hold = "false";
+defparam \rgb[13]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y19_N9
+cycloneive_io_obuf \rgb[14]~output (
+ .i(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[14]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[14]~output .bus_hold = "false";
+defparam \rgb[14]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y20_N23
+cycloneive_io_obuf \rgb[15]~output (
+ .i(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[15]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[15]~output .bus_hold = "false";
+defparam \rgb[15]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
+// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add0~0_combout ),
+ .cout(\vga_ctrl_inst|Add0~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
+defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y3_N0
+cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
+// Equation(s):
+// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y4_N1
+cycloneive_io_ibuf \sys_rst_n~input (
+ .i(sys_rst_n),
+ .ibar(gnd),
+ .o(\sys_rst_n~input_o ));
+// synopsys translate_off
+defparam \sys_rst_n~input .bus_hold = "false";
+defparam \sys_rst_n~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: FF_X35_Y3_N1
+dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .asdata(vcc),
+ .clrn(\sys_rst_n~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y3_N10
+cycloneive_lcell_comb \rst_n~0 (
+// Equation(s):
+// \rst_n~0_combout = ((!\sys_rst_n~input_o ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked )
+
+ .dataa(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .datac(\sys_rst_n~input_o ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\rst_n~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \rst_n~0 .lut_mask = 16'h7F7F;
+defparam \rst_n~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: CLKCTRL_G16
+cycloneive_clkctrl \rst_n~0clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\rst_n~0clkctrl_outclk ));
+// synopsys translate_off
+defparam \rst_n~0clkctrl .clock_type = "global clock";
+defparam \rst_n~0clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N9
+dffeas \vga_ctrl_inst|cnt_h[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
+// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [1]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~1 ),
+ .combout(\vga_ctrl_inst|Add0~2_combout ),
+ .cout(\vga_ctrl_inst|Add0~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
+// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~5 ),
+ .combout(\vga_ctrl_inst|Add0~6_combout ),
+ .cout(\vga_ctrl_inst|Add0~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N15
+dffeas \vga_ctrl_inst|cnt_h[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
+// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~7 ),
+ .combout(\vga_ctrl_inst|Add0~8_combout ),
+ .cout(\vga_ctrl_inst|Add0~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N17
+dffeas \vga_ctrl_inst|cnt_h[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
+// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~9 ),
+ .combout(\vga_ctrl_inst|Add0~10_combout ),
+ .cout(\vga_ctrl_inst|Add0~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
+// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~11 ),
+ .combout(\vga_ctrl_inst|Add0~12_combout ),
+ .cout(\vga_ctrl_inst|Add0~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N21
+dffeas \vga_ctrl_inst|cnt_h[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~12_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
+// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~13 ),
+ .combout(\vga_ctrl_inst|Add0~14_combout ),
+ .cout(\vga_ctrl_inst|Add0~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N23
+dffeas \vga_ctrl_inst|cnt_h[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~14_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
+// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~15 ),
+ .combout(\vga_ctrl_inst|Add0~16_combout ),
+ .cout(\vga_ctrl_inst|Add0~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~18_combout = \vga_ctrl_inst|Add0~17 $ (\vga_ctrl_inst|cnt_h [9])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(\vga_ctrl_inst|Add0~17 ),
+ .combout(\vga_ctrl_inst|Add0~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h0FF0;
+defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~1_combout = (!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|Add0~18_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|Add0~18_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h3030;
+defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N1
+dffeas \vga_ctrl_inst|cnt_h[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & !\vga_ctrl_inst|Equal0~3_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add0~10_combout ),
+ .datac(\vga_ctrl_inst|Equal0~3_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h0C0C;
+defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y23_N25
+dffeas \vga_ctrl_inst|cnt_h[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|cnt_h [5] & !\vga_ctrl_inst|cnt_h [6])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(\vga_ctrl_inst|cnt_h [5]),
+ .datad(\vga_ctrl_inst|cnt_h [6]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0008;
+defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N11
+dffeas \vga_ctrl_inst|cnt_h[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~1_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [1])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(\vga_ctrl_inst|cnt_h [3]),
+ .datac(\vga_ctrl_inst|cnt_h [0]),
+ .datad(\vga_ctrl_inst|cnt_h [1]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Equal0~2_combout & (\vga_ctrl_inst|Equal0~1_combout & !\vga_ctrl_inst|cnt_h [7])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [4]),
+ .datab(\vga_ctrl_inst|Equal0~2_combout ),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [7]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'h0080;
+defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & !\vga_ctrl_inst|Equal0~3_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add0~16_combout ),
+ .datac(\vga_ctrl_inst|Equal0~3_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h0C0C;
+defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N3
+dffeas \vga_ctrl_inst|cnt_h[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan2~0_combout = (!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|cnt_h [9])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan2~0 .lut_mask = 16'h0033;
+defparam \vga_ctrl_inst|LessThan2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # (((\vga_ctrl_inst|cnt_h [6] & \vga_ctrl_inst|cnt_h [5])) # (!\vga_ctrl_inst|LessThan2~0_combout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(\vga_ctrl_inst|LessThan2~0_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [5]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hEFAF;
+defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~9 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[0]~9_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~0_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [0]))))
+
+ .dataa(\vga_ctrl_inst|Add1~0_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [0]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[0]~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0]~9 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[0]~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N29
+dffeas \vga_ctrl_inst|cnt_v[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[0]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~8 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[2]~8_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~4_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [2]))))
+
+ .dataa(\vga_ctrl_inst|Add1~4_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[2]~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2]~8 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[2]~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N5
+dffeas \vga_ctrl_inst|cnt_v[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[2]~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~6 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[4]~6_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~8_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [4]))))
+
+ .dataa(\vga_ctrl_inst|Add1~8_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [4]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[4]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4]~6 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[4]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N1
+dffeas \vga_ctrl_inst|cnt_v[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[4]~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
+// Equation(s):
+// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|cnt_v [9] & (\vga_ctrl_inst|cnt_v [3] & (\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [9]),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|cnt_v [4]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0080;
+defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[1]~0_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~2_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [1]))))
+
+ .dataa(\vga_ctrl_inst|Add1~2_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [1]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[1]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1]~0 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[1]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N17
+dffeas \vga_ctrl_inst|cnt_v[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[1]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
+// Equation(s):
+// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [0] & (\vga_ctrl_inst|always1~1_combout & !\vga_ctrl_inst|cnt_v [1])))
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_v [0]),
+ .datac(\vga_ctrl_inst|always1~1_combout ),
+ .datad(\vga_ctrl_inst|cnt_v [1]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0020;
+defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~7 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[3]~7_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~6_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [3]))))
+
+ .dataa(\vga_ctrl_inst|Add1~6_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[3]~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3]~7 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[3]~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N3
+dffeas \vga_ctrl_inst|cnt_v[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[3]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|cnt_v [4]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[5]~2_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~10_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [5]))))
+
+ .dataa(\vga_ctrl_inst|Add1~10_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [5]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[5]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5]~2 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[5]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N19
+dffeas \vga_ctrl_inst|cnt_v[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[5]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
+// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~13 ),
+ .combout(\vga_ctrl_inst|Add1~14_combout ),
+ .cout(\vga_ctrl_inst|Add1~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~4 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[7]~4_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~14_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [7]))))
+
+ .dataa(\vga_ctrl_inst|always1~2_combout ),
+ .datab(\vga_ctrl_inst|Add1~14_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[7]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7]~4 .lut_mask = 16'h44F0;
+defparam \vga_ctrl_inst|cnt_v[7]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y24_N3
+dffeas \vga_ctrl_inst|cnt_v[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[7]~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~18_combout = \vga_ctrl_inst|Add1~17 $ (\vga_ctrl_inst|cnt_v [9])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_v [9]),
+ .cin(\vga_ctrl_inst|Add1~17 ),
+ .combout(\vga_ctrl_inst|Add1~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h0FF0;
+defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[9]~1_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~18_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [9]))))
+
+ .dataa(\vga_ctrl_inst|always1~2_combout ),
+ .datab(\vga_ctrl_inst|Add1~18_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[9]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9]~1 .lut_mask = 16'h44F0;
+defparam \vga_ctrl_inst|cnt_v[9]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y24_N1
+dffeas \vga_ctrl_inst|cnt_v[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[9]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~5 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[6]~5_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~12_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [6]))))
+
+ .dataa(\vga_ctrl_inst|Add1~12_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [6]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[6]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6]~5 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[6]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y24_N5
+dffeas \vga_ctrl_inst|cnt_v[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[6]~5_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
+// Equation(s):
+// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(\vga_ctrl_inst|cnt_v [5]),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|cnt_v [6]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan1~0_combout = ((\vga_ctrl_inst|cnt_v [1]) # ((\vga_ctrl_inst|cnt_v [9]) # (!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|LessThan6~0_combout )
+
+ .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'hFDFF;
+defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~1 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan6~1_combout = (!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [0]),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_v [1]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan6~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan6~1 .lut_mask = 16'h33FF;
+defparam \vga_ctrl_inst|LessThan6~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N30
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~1_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(\vga_ctrl_inst|cnt_v [9]),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|cnt_v [6]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~2_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|LessThan6~1_combout & (\vga_ctrl_inst|pix_data_req~1_combout )) # (!\vga_ctrl_inst|LessThan6~1_combout & ((\vga_ctrl_inst|always1~0_combout ))))) #
+// (!\vga_ctrl_inst|LessThan6~0_combout & (((\vga_ctrl_inst|always1~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
+ .datab(\vga_ctrl_inst|LessThan6~1_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'hF780;
+defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~1 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan2~1_combout = (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(\vga_ctrl_inst|cnt_h [4]),
+ .datad(\vga_ctrl_inst|cnt_h [5]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan2~1 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|LessThan2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|rgb_valid~0 (
+// Equation(s):
+// \vga_ctrl_inst|rgb_valid~0_combout = (\vga_ctrl_inst|Equal0~0_combout & (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|LessThan2~0_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout & (((\vga_ctrl_inst|cnt_h [7] &
+// !\vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|LessThan2~0_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|LessThan2~0_combout ),
+ .datad(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb_valid~0 .lut_mask = 16'h0745;
+defparam \vga_ctrl_inst|rgb_valid~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [1]),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~1_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
+defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~1_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~3_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
+defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~3_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~5_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
+defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~5_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~7_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005;
+defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~7_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~9_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00AF;
+defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
+// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~9_cout ),
+ .combout(\vga_ctrl_inst|Add2~10_combout ),
+ .cout(\vga_ctrl_inst|Add2~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
+defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
+// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~11 ),
+ .combout(\vga_ctrl_inst|Add2~12_combout ),
+ .cout(\vga_ctrl_inst|Add2~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hCC00;
+defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
+// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~13 ),
+ .combout(\vga_ctrl_inst|Add2~14_combout ),
+ .cout(\vga_ctrl_inst|Add2~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505;
+defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~16_combout = \vga_ctrl_inst|cnt_h [9] $ (\vga_ctrl_inst|Add2~15 )
+
+ .dataa(\vga_ctrl_inst|cnt_h [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add2~15 ),
+ .combout(\vga_ctrl_inst|Add2~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5A5A;
+defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N2
+cycloneive_lcell_comb \vga_pic_inst|LessThan6~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan6~0_combout = ((\vga_pic_inst|LessThan14~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_ctrl_inst|Add2~14_combout ))) # (!\vga_ctrl_inst|pix_data_req~4_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datab(\vga_pic_inst|LessThan14~0_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_ctrl_inst|Add2~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan6~0 .lut_mask = 16'hFFFD;
+defparam \vga_pic_inst|LessThan6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|always1~0_combout & \vga_ctrl_inst|cnt_v [9])
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h5050;
+defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan4~0_combout = (\vga_ctrl_inst|LessThan2~0_combout & (((!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|cnt_h [7])))
+
+ .dataa(\vga_ctrl_inst|Equal0~1_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|LessThan2~0_combout ),
+ .datad(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan4~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h7030;
+defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~3_combout = ((!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout )
+
+ .dataa(\vga_ctrl_inst|Equal0~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'h5755;
+defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (!\vga_ctrl_inst|LessThan4~0_combout & \vga_ctrl_inst|pix_data_req~3_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|LessThan4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'h0100;
+defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N2
+cycloneive_lcell_comb \vga_pic_inst|pix_data~4 (
+// Equation(s):
+// \vga_pic_inst|pix_data~4_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~4 .lut_mask = 16'h00CC;
+defparam \vga_pic_inst|pix_data~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N4
+cycloneive_lcell_comb \vga_pic_inst|pix_data~9 (
+// Equation(s):
+// \vga_pic_inst|pix_data~9_combout = (\vga_pic_inst|pix_data~8_combout & ((\vga_pic_inst|LessThan6~0_combout ) # ((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data~8_combout &
+// (((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~8_combout ),
+ .datab(\vga_pic_inst|LessThan6~0_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_pic_inst|pix_data~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~9 .lut_mask = 16'h8F88;
+defparam \vga_pic_inst|pix_data~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N8
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~0_combout = (\vga_ctrl_inst|Add2~12_combout ) # ((\vga_ctrl_inst|Add2~10_combout ) # ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~10_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~0 .lut_mask = 16'hFEFF;
+defparam \vga_pic_inst|LessThan17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N14
+cycloneive_lcell_comb \vga_pic_inst|pix_data~6 (
+// Equation(s):
+// \vga_pic_inst|pix_data~6_combout = ((\vga_pic_inst|LessThan17~0_combout & ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout )
+
+ .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
+ .datab(\vga_pic_inst|pix_data~4_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_pic_inst|LessThan17~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~6 .lut_mask = 16'hF755;
+defparam \vga_pic_inst|pix_data~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N22
+cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~10 (
+// Equation(s):
+// \vga_pic_inst|pix_data[4]~10_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[4]~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4]~10 .lut_mask = 16'h0FFF;
+defparam \vga_pic_inst|pix_data[4]~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N4
+cycloneive_lcell_comb \vga_pic_inst|pix_data~11 (
+// Equation(s):
+// \vga_pic_inst|pix_data~11_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~10_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~14_combout ),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~11 .lut_mask = 16'h0080;
+defparam \vga_pic_inst|pix_data~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N24
+cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
+// Equation(s):
+// \vga_pic_inst|pix_data~12_combout = (\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data[4]~10_combout ) # (!\vga_pic_inst|pix_data~11_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|LessThan17~0_combout ))
+
+ .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
+ .datab(\vga_pic_inst|LessThan17~0_combout ),
+ .datac(\vga_pic_inst|pix_data[4]~10_combout ),
+ .datad(\vga_pic_inst|pix_data~11_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'hE4EE;
+defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
+// Equation(s):
+// \vga_pic_inst|pix_data~13_combout = ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout ))) # (!\vga_pic_inst|pix_data~12_combout )
+
+ .dataa(\vga_pic_inst|pix_data[4]~7_combout ),
+ .datab(\vga_pic_inst|pix_data~9_combout ),
+ .datac(\vga_pic_inst|pix_data~6_combout ),
+ .datad(\vga_pic_inst|pix_data~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'h80FF;
+defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N17
+dffeas \vga_pic_inst|pix_data[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[0]~0 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[0]~0_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_ctrl_inst|rgb_valid~0_combout & (\vga_pic_inst|pix_data [0] & !\vga_ctrl_inst|pix_data_req~0_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datac(\vga_pic_inst|pix_data [0]),
+ .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[0]~0 .lut_mask = 16'h0040;
+defparam \vga_ctrl_inst|rgb[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~7 (
+// Equation(s):
+// \vga_pic_inst|pix_data[4]~7_combout = (!\vga_ctrl_inst|Add2~16_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|Add2~12_combout ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[4]~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4]~7 .lut_mask = 16'h0700;
+defparam \vga_pic_inst|pix_data[4]~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N18
+cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
+// Equation(s):
+// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout )))
+
+ .dataa(\vga_pic_inst|pix_data~15_combout ),
+ .datab(\vga_pic_inst|pix_data[4]~7_combout ),
+ .datac(\vga_pic_inst|pix_data~9_combout ),
+ .datad(\vga_pic_inst|pix_data~6_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'hEAAA;
+defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N19
+dffeas \vga_pic_inst|pix_data[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~16_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~1 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[1]~1_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [4])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [4]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[1]~1 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[1]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
+// Equation(s):
+// \vga_pic_inst|pix_data~25_combout = (\vga_ctrl_inst|Add2~16_combout & (((!\vga_pic_inst|LessThan17~0_combout )))) # (!\vga_ctrl_inst|Add2~16_combout & ((\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data~17_combout )) #
+// (!\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_pic_inst|LessThan17~0_combout )))))
+
+ .dataa(\vga_pic_inst|pix_data~17_combout ),
+ .datab(\vga_ctrl_inst|Add2~16_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_pic_inst|LessThan17~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~25_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h20EF;
+defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y23_N13
+dffeas \vga_pic_inst|pix_data[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~25_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[5]~2 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[5]~2_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [8])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[5]~2 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[5]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
+// Equation(s):
+// \vga_pic_inst|pix_data~18_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~10_combout )) # (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout
+// ))))
+
+ .dataa(\vga_ctrl_inst|Add2~14_combout ),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h4060;
+defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~14 (
+// Equation(s):
+// \vga_pic_inst|pix_data~14_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~14 .lut_mask = 16'h0030;
+defparam \vga_pic_inst|pix_data~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N30
+cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
+// Equation(s):
+// \vga_pic_inst|pix_data~26_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|pix_data~14_combout ))) # (!\vga_ctrl_inst|Add2~16_combout & (\vga_pic_inst|pix_data~18_combout )))) #
+// (!\vga_ctrl_inst|pix_data_req~4_combout & (((\vga_pic_inst|pix_data~14_combout ))))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datab(\vga_pic_inst|pix_data~18_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_pic_inst|pix_data~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~26_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hFD08;
+defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y24_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
+// Equation(s):
+// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|pix_data~26_combout & \vga_pic_inst|pix_data~6_combout )
+
+ .dataa(gnd),
+ .datab(\vga_pic_inst|pix_data~26_combout ),
+ .datac(gnd),
+ .datad(\vga_pic_inst|pix_data~6_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~19_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCC00;
+defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y24_N1
+dffeas \vga_pic_inst|pix_data[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~19_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[7]~3_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [9])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N6
+cycloneive_lcell_comb \vga_pic_inst|LessThan2~2 (
+// Equation(s):
+// \vga_pic_inst|LessThan2~2_combout = (\vga_pic_inst|LessThan17~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout ))
+
+ .dataa(\vga_pic_inst|LessThan17~0_combout ),
+ .datab(\vga_ctrl_inst|Add2~16_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan2~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan2~2 .lut_mask = 16'hEEFF;
+defparam \vga_pic_inst|LessThan2~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y24_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
+// Equation(s):
+// \vga_pic_inst|pix_data~20_combout = (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|pix_data_req~4_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h0500;
+defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y24_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
+// Equation(s):
+// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|LessThan2~2_combout & ((\vga_pic_inst|pix_data~26_combout ) # ((\vga_pic_inst|pix_data~4_combout & \vga_pic_inst|pix_data~20_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~4_combout ),
+ .datab(\vga_pic_inst|pix_data~26_combout ),
+ .datac(\vga_pic_inst|LessThan2~2_combout ),
+ .datad(\vga_pic_inst|pix_data~20_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~21_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'hE0C0;
+defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y24_N27
+dffeas \vga_pic_inst|pix_data[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~21_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~4 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[10]~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [10])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [10]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[10]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[10]~4 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[10]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
+// Equation(s):
+// \vga_pic_inst|pix_data~22_combout = ((\vga_pic_inst|pix_data[4]~5_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))) # (!\vga_pic_inst|LessThan6~0_combout )
+
+ .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
+ .datab(\vga_pic_inst|LessThan6~0_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_pic_inst|pix_data~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h3B33;
+defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
+// Equation(s):
+// \vga_pic_inst|pix_data~23_combout = ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout )))) # (!\vga_pic_inst|pix_data~12_combout )
+
+ .dataa(\vga_pic_inst|LessThan2~2_combout ),
+ .datab(\vga_pic_inst|pix_data~12_combout ),
+ .datac(\vga_pic_inst|pix_data~22_combout ),
+ .datad(\vga_pic_inst|pix_data[4]~7_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~23_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'hF733;
+defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N29
+dffeas \vga_pic_inst|pix_data[13] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~23_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[11]~5 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[11]~5_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [13] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_pic_inst|pix_data [13]),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[11]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[11]~5 .lut_mask = 16'h0040;
+defparam \vga_ctrl_inst|rgb[11]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N30
+cycloneive_lcell_comb \vga_pic_inst|pix_data~24 (
+// Equation(s):
+// \vga_pic_inst|pix_data~24_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~15_combout ),
+ .datab(\vga_pic_inst|pix_data[4]~7_combout ),
+ .datac(\vga_pic_inst|pix_data~22_combout ),
+ .datad(\vga_pic_inst|LessThan2~2_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~24_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~24 .lut_mask = 16'hEAEE;
+defparam \vga_pic_inst|pix_data~24 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N31
+dffeas \vga_pic_inst|pix_data[15] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~24_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~6 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[12]~6_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [15] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_pic_inst|pix_data [15]),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[12]~6 .lut_mask = 16'h0040;
+defparam \vga_ctrl_inst|rgb[12]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+endmodule
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_v_slow.sdo b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_v_slow.sdo
index 56cf675..99bdd4f 100644
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_v_slow.sdo
+++ b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_0c_v_slow.sdo
@@ -1,2108 +1,2108 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This file contains Slow Corner delays for the design using part EP4CE15F23C8,
-// with speed grade 8, core voltage 1.2V, and temperature 0 Celsius
-//
-
-//
-// This SDF file should be used for ModelSim (Verilog) only
-//
-
-(DELAYFILE
- (SDFVERSION "2.1")
- (DESIGN "vga_colorbar")
- (DATE "06/02/2023 04:42:20")
- (VENDOR "Altera")
- (PROGRAM "Quartus II 64-Bit")
- (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version")
- (DIVIDER .)
- (TIMESCALE 1 ps)
-
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (350:350:350) (414:414:414))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_pll")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
- (DELAY
- (ABSOLUTE
- (PORT areset (3921:3921:3921) (3921:3921:3921))
- (PORT inclk[0] (2063:2063:2063) (2063:2063:2063))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (930:930:930) (857:857:857))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (936:936:936) (846:846:846))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (946:946:946) (850:850:850))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (976:976:976) (871:871:871))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (553:553:553) (535:535:535))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~10)
- (DELAY
- (ABSOLUTE
- (PORT datab (928:928:928) (836:836:836))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (541:541:541) (539:539:539))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (929:929:929) (860:860:860))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1676:1676:1676) (1630:1630:1630))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (376:376:376) (436:436:436))
- (PORT datad (318:318:318) (383:383:383))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1646:1646:1646) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1678:1678:1678) (1631:1631:1631))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (823:823:823) (702:702:702))
- (PORT datab (854:854:854) (735:735:735))
- (PORT datad (295:295:295) (323:323:323))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[4\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT datab (1192:1192:1192) (1014:1014:1014))
- (PORT datad (897:897:897) (776:776:776))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1125:1125:1125) (953:953:953))
- (PORT datab (944:944:944) (799:799:799))
- (PORT datac (901:901:901) (814:814:814))
- (PORT datad (1146:1146:1146) (972:972:972))
- (IOPATH dataa combout (349:349:349) (371:371:371))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (816:816:816) (714:714:714))
- (PORT datab (502:502:502) (440:440:440))
- (PORT datac (233:233:233) (251:251:251))
- (PORT datad (253:253:253) (275:275:275))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~17)
- (DELAY
- (ABSOLUTE
- (PORT datab (324:324:324) (343:343:343))
- (PORT datac (833:833:833) (685:685:685))
- (PORT datad (272:272:272) (289:289:289))
- (IOPATH datab combout (437:437:437) (451:451:451))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_clk\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (788:788:788) (813:813:813))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (2044:2044:2044) (2012:2012:2012))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE hsync\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1890:1890:1890) (2195:2195:2195))
- (IOPATH i o (2832:2832:2832) (2912:2912:2912))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE vsync\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1656:1656:1656) (1999:1999:1999))
- (IOPATH i o (2842:2842:2842) (2922:2922:2922))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[0\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (2831:2831:2831) (2423:2423:2423))
- (IOPATH i o (2912:2912:2912) (2832:2832:2832))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[1\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (2775:2775:2775) (2366:2366:2366))
- (IOPATH i o (2922:2922:2922) (2842:2842:2842))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[2\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (3072:3072:3072) (2605:2605:2605))
- (IOPATH i o (2922:2922:2922) (2842:2842:2842))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[3\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (3427:3427:3427) (2865:2865:2865))
- (IOPATH i o (3043:3043:3043) (2991:2991:2991))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[4\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (3421:3421:3421) (2863:2863:2863))
- (IOPATH i o (3023:3023:3023) (2971:2971:2971))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[5\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1488:1488:1488) (1307:1307:1307))
- (IOPATH i o (3023:3023:3023) (2971:2971:2971))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[6\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1531:1531:1531) (1319:1319:1319))
- (IOPATH i o (3023:3023:3023) (2971:2971:2971))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[7\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (2076:2076:2076) (1758:1758:1758))
- (IOPATH i o (3033:3033:3033) (2981:2981:2981))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[8\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1883:1883:1883) (1625:1625:1625))
- (IOPATH i o (2993:2993:2993) (2941:2941:2941))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[9\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1877:1877:1877) (1595:1595:1595))
- (IOPATH i o (3013:3013:3013) (2961:2961:2961))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[10\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1823:1823:1823) (1522:1522:1522))
- (IOPATH i o (3003:3003:3003) (2951:2951:2951))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[11\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1783:1783:1783) (1502:1502:1502))
- (IOPATH i o (3003:3003:3003) (2951:2951:2951))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[12\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1438:1438:1438) (1189:1189:1189))
- (IOPATH i o (3013:3013:3013) (2961:2961:2961))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[13\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1456:1456:1456) (1192:1192:1192))
- (IOPATH i o (3003:3003:3003) (2951:2951:2951))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[14\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1057:1057:1057) (866:866:866))
- (IOPATH i o (3013:3013:3013) (2961:2961:2961))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[15\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1090:1090:1090) (891:891:891))
- (IOPATH i o (3003:3003:3003) (2951:2951:2951))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (346:346:346) (403:403:403))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_rst_n\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (748:748:748) (773:773:773))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
- (DELAY
- (ABSOLUTE
- (PORT clk (2611:2611:2611) (2849:2849:2849))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (4061:4061:4061) (3964:3964:3964))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE rst_n\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1998:1998:1998) (2217:2217:2217))
- (PORT datab (316:316:316) (370:370:370))
- (PORT datac (3330:3330:3330) (3369:3369:3369))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (301:301:301) (283:283:283))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE rst_n\~0clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (2132:2132:2132) (1906:1906:1906))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1646:1646:1646) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1678:1678:1678) (1631:1631:1631))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (348:348:348) (410:410:410))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (348:348:348) (406:406:406))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1646:1646:1646) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1678:1678:1678) (1631:1631:1631))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (339:339:339) (395:395:395))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1646:1646:1646) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1678:1678:1678) (1631:1631:1631))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (898:898:898) (788:788:788))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (347:347:347) (405:405:405))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1646:1646:1646) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1678:1678:1678) (1631:1631:1631))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (342:342:342) (402:402:402))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1646:1646:1646) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1678:1678:1678) (1631:1631:1631))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (381:381:381) (442:442:442))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~18)
- (DELAY
- (ABSOLUTE
- (PORT datad (326:326:326) (392:392:392))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (841:841:841) (693:693:693))
- (PORT datac (229:229:229) (244:244:244))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1646:1646:1646) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1678:1678:1678) (1631:1631:1631))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (783:783:783) (625:625:625))
- (PORT datac (249:249:249) (266:266:266))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1679:1679:1679) (1632:1632:1632))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (645:645:645) (596:596:596))
- (PORT datab (366:366:366) (429:429:429))
- (PORT datac (839:839:839) (745:745:745))
- (PORT datad (306:306:306) (366:366:366))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1646:1646:1646) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1678:1678:1678) (1631:1631:1631))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (351:351:351) (415:415:415))
- (PORT datab (348:348:348) (406:406:406))
- (PORT datac (306:306:306) (372:372:372))
- (PORT datad (308:308:308) (368:368:368))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (868:868:868) (778:778:778))
- (PORT datab (732:732:732) (605:605:605))
- (PORT datac (711:711:711) (589:589:589))
- (PORT datad (892:892:892) (802:802:802))
- (IOPATH dataa combout (394:394:394) (400:400:400))
- (IOPATH datab combout (400:400:400) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (270:270:270) (277:277:277))
- (PORT datac (799:799:799) (659:659:659))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1646:1646:1646) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1678:1678:1678) (1631:1631:1631))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan2\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (375:375:375) (435:435:435))
- (PORT datad (317:317:317) (382:382:382))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (826:826:826) (750:750:750))
- (PORT datab (904:904:904) (785:785:785))
- (PORT datac (753:753:753) (626:626:626))
- (PORT datad (304:304:304) (363:363:363))
- (IOPATH dataa combout (420:420:420) (400:400:400))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (831:831:831) (712:712:712))
- (PORT datab (858:858:858) (739:739:739))
- (PORT datad (291:291:291) (319:319:319))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1676:1676:1676) (1630:1630:1630))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (858:858:858) (738:738:738))
- (PORT datab (852:852:852) (733:733:733))
- (PORT datad (296:296:296) (324:324:324))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1676:1676:1676) (1630:1630:1630))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1093:1093:1093) (902:902:902))
- (PORT datab (852:852:852) (732:732:732))
- (PORT datad (297:297:297) (325:325:325))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1676:1676:1676) (1630:1630:1630))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (988:988:988) (890:890:890))
- (PORT datab (346:346:346) (404:404:404))
- (PORT datac (303:303:303) (370:370:370))
- (PORT datad (304:304:304) (363:363:363))
- (IOPATH dataa combout (394:394:394) (400:400:400))
- (IOPATH datab combout (400:400:400) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1168:1168:1168) (956:956:956))
- (PORT datab (856:856:856) (736:736:736))
- (PORT datad (293:293:293) (321:321:321))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1676:1676:1676) (1630:1630:1630))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (296:296:296) (317:317:317))
- (PORT datab (347:347:347) (405:405:405))
- (PORT datac (443:443:443) (380:380:380))
- (PORT datad (314:314:314) (376:376:376))
- (IOPATH dataa combout (420:420:420) (371:371:371))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (926:926:926) (775:775:775))
- (PORT datab (852:852:852) (732:732:732))
- (PORT datad (296:296:296) (324:324:324))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1676:1676:1676) (1630:1630:1630))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan6\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (347:347:347) (405:405:405))
- (PORT datac (305:305:305) (371:371:371))
- (PORT datad (306:306:306) (365:365:365))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (872:872:872) (735:735:735))
- (PORT datab (856:856:856) (737:737:737))
- (PORT datad (293:293:293) (321:321:321))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1644:1644:1644) (1665:1665:1665))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1676:1676:1676) (1630:1630:1630))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~14)
- (DELAY
- (ABSOLUTE
- (PORT datab (553:553:553) (535:535:535))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (859:859:859) (755:755:755))
- (PORT datab (270:270:270) (277:277:277))
- (PORT datad (1181:1181:1181) (989:989:989))
- (IOPATH dataa combout (374:374:374) (392:392:392))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1679:1679:1679) (1631:1631:1631))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~18)
- (DELAY
- (ABSOLUTE
- (PORT datad (311:311:311) (372:372:372))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (859:859:859) (756:756:756))
- (PORT datab (271:271:271) (279:279:279))
- (PORT datad (1181:1181:1181) (990:990:990))
- (IOPATH dataa combout (374:374:374) (392:392:392))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1679:1679:1679) (1631:1631:1631))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (272:272:272) (284:284:284))
- (PORT datab (1241:1241:1241) (1030:1030:1030))
- (PORT datad (817:817:817) (712:712:712))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (431:431:431))
- (IOPATH datac combout (415:415:415) (429:429:429))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1679:1679:1679) (1631:1631:1631))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (340:340:340) (399:399:399))
- (PORT datab (338:338:338) (393:393:393))
- (PORT datac (842:842:842) (777:777:777))
- (PORT datad (865:865:865) (793:793:793))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (295:295:295))
- (PORT datab (353:353:353) (414:414:414))
- (PORT datac (930:930:930) (847:847:847))
- (PORT datad (250:250:250) (271:271:271))
- (IOPATH dataa combout (349:349:349) (377:377:377))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan6\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (347:347:347) (405:405:405))
- (PORT datad (313:313:313) (375:375:375))
- (IOPATH datab combout (384:384:384) (398:398:398))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (927:927:927) (858:858:858))
- (PORT datab (349:349:349) (408:408:408))
- (PORT datac (511:511:511) (502:502:502))
- (PORT datad (501:501:501) (496:496:496))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (406:406:406) (453:453:453))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (277:277:277) (292:292:292))
- (PORT datab (268:268:268) (275:275:275))
- (PORT datac (691:691:691) (555:555:555))
- (PORT datad (254:254:254) (275:275:275))
- (IOPATH dataa combout (394:394:394) (400:400:400))
- (IOPATH datab combout (400:400:400) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan2\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (902:902:902) (782:782:782))
- (PORT datac (821:821:821) (736:736:736))
- (PORT datad (303:303:303) (362:362:362))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb_valid\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (728:728:728) (604:604:604))
- (PORT datab (950:950:950) (840:840:840))
- (PORT datac (751:751:751) (625:625:625))
- (PORT datad (241:241:241) (255:255:255))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH datab combout (423:423:423) (386:386:386))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (605:605:605) (556:556:556))
- (PORT datab (810:810:810) (721:721:721))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datab cout (497:497:497) (381:381:381))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (605:605:605) (557:557:557))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (550:550:550) (532:532:532))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (559:559:559) (540:540:540))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (831:831:831) (743:743:743))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~10)
- (DELAY
- (ABSOLUTE
- (PORT datab (603:603:603) (555:555:555))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datab cout (497:497:497) (381:381:381))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (823:823:823) (747:747:747))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan14\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (324:324:324) (343:343:343))
- (PORT datad (271:271:271) (289:289:289))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (627:627:627) (578:578:578))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH dataa cout (486:486:486) (375:375:375))
- (IOPATH datad combout (167:167:167) (143:143:143))
- (IOPATH cin combout (549:549:549) (519:519:519))
- (IOPATH cin cout (63:63:63) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (606:606:606) (557:557:557))
- (IOPATH dataa combout (435:435:435) (444:444:444))
- (IOPATH cin combout (549:549:549) (519:519:519))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (824:824:824) (705:705:705))
- (PORT datab (269:269:269) (276:276:276))
- (PORT datac (257:257:257) (276:276:276))
- (PORT datad (260:260:260) (272:272:272))
- (IOPATH dataa combout (404:404:404) (450:450:450))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (295:295:295) (317:317:317))
- (PORT datac (926:926:926) (842:842:842))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH datac combout (305:305:305) (285:285:285))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan4\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (755:755:755) (628:628:628))
- (PORT datab (951:951:951) (842:842:842))
- (PORT datac (750:750:750) (623:623:623))
- (PORT datad (244:244:244) (258:258:258))
- (IOPATH dataa combout (374:374:374) (392:392:392))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (728:728:728) (604:604:604))
- (PORT datab (951:951:951) (842:842:842))
- (PORT datac (710:710:710) (588:588:588))
- (PORT datad (242:242:242) (256:256:256))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH datab combout (384:384:384) (386:386:386))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (825:825:825) (721:721:721))
- (PORT datab (902:902:902) (752:752:752))
- (PORT datac (226:226:226) (242:242:242))
- (PORT datad (227:227:227) (235:235:235))
- (IOPATH dataa combout (349:349:349) (377:377:377))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (1194:1194:1194) (1016:1016:1016))
- (PORT datad (824:824:824) (721:721:721))
- (IOPATH datab combout (437:437:437) (425:425:425))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (271:271:271) (283:283:283))
- (PORT datab (867:867:867) (729:729:729))
- (PORT datac (897:897:897) (809:809:809))
- (PORT datad (262:262:262) (277:277:277))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (866:866:866) (763:763:763))
- (PORT datab (943:943:943) (798:798:798))
- (PORT datac (898:898:898) (810:810:810))
- (PORT datad (1151:1151:1151) (977:977:977))
- (IOPATH dataa combout (375:375:375) (371:371:371))
- (IOPATH datab combout (377:377:377) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (295:295:295) (317:317:317))
- (PORT datab (304:304:304) (317:317:317))
- (PORT datac (899:899:899) (811:811:811))
- (PORT datad (472:472:472) (420:420:420))
- (IOPATH dataa combout (428:428:428) (450:450:450))
- (IOPATH datab combout (384:384:384) (386:386:386))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[4\]\~10)
- (DELAY
- (ABSOLUTE
- (PORT datac (900:900:900) (813:813:813))
- (PORT datad (823:823:823) (719:719:719))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (892:892:892) (727:727:727))
- (PORT datab (325:325:325) (345:345:345))
- (PORT datac (780:780:780) (666:666:666))
- (PORT datad (271:271:271) (289:289:289))
- (IOPATH dataa combout (394:394:394) (400:400:400))
- (IOPATH datab combout (400:400:400) (391:391:391))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (296:296:296) (319:319:319))
- (PORT datab (291:291:291) (299:299:299))
- (PORT datac (232:232:232) (250:250:250))
- (PORT datad (778:778:778) (673:673:673))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (567:567:567) (475:475:475))
- (PORT datab (276:276:276) (286:286:286))
- (PORT datac (271:271:271) (287:287:287))
- (PORT datad (235:235:235) (245:245:245))
- (IOPATH dataa combout (351:351:351) (371:371:371))
- (IOPATH datab combout (357:357:357) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1648:1648:1648) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1680:1680:1680) (1633:1633:1633))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (828:828:828) (724:724:724))
- (PORT datab (318:318:318) (341:341:341))
- (PORT datac (822:822:822) (751:751:751))
- (PORT datad (846:846:846) (719:719:719))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH datab combout (423:423:423) (380:380:380))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[4\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (866:866:866) (764:764:764))
- (PORT datab (951:951:951) (842:842:842))
- (PORT datac (1086:1086:1086) (919:919:919))
- (PORT datad (1154:1154:1154) (980:980:980))
- (IOPATH dataa combout (373:373:373) (380:380:380))
- (IOPATH datab combout (384:384:384) (386:386:386))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (279:279:279) (295:295:295))
- (PORT datab (307:307:307) (322:322:322))
- (PORT datac (234:234:234) (253:253:253))
- (PORT datad (488:488:488) (418:418:418))
- (IOPATH dataa combout (435:435:435) (425:425:425))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1648:1648:1648) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1680:1680:1680) (1633:1633:1633))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[1\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (824:824:824) (719:719:719))
- (PORT datab (900:900:900) (750:750:750))
- (PORT datac (274:274:274) (308:308:308))
- (PORT datad (883:883:883) (795:795:795))
- (IOPATH dataa combout (350:350:350) (371:371:371))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~25)
- (DELAY
- (ABSOLUTE
- (PORT dataa (541:541:541) (447:447:447))
- (PORT datab (501:501:501) (443:443:443))
- (PORT datac (248:248:248) (264:264:264))
- (PORT datad (791:791:791) (683:683:683))
- (IOPATH dataa combout (420:420:420) (371:371:371))
- (IOPATH datab combout (423:423:423) (398:398:398))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1647:1647:1647) (1666:1666:1666))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1679:1679:1679) (1632:1632:1632))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[5\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (824:824:824) (719:719:719))
- (PORT datab (900:900:900) (749:749:749))
- (PORT datac (275:275:275) (308:308:308))
- (PORT datad (277:277:277) (332:332:332))
- (IOPATH dataa combout (350:350:350) (371:371:371))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~18)
- (DELAY
- (ABSOLUTE
- (PORT dataa (891:891:891) (727:727:727))
- (PORT datab (323:323:323) (343:343:343))
- (PORT datac (774:774:774) (661:661:661))
- (PORT datad (271:271:271) (289:289:289))
- (IOPATH dataa combout (420:420:420) (444:444:444))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~14)
- (DELAY
- (ABSOLUTE
- (PORT datab (300:300:300) (310:310:310))
- (PORT datac (781:781:781) (668:668:668))
- (PORT datad (285:285:285) (307:307:307))
- (IOPATH datab combout (423:423:423) (451:451:451))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~26)
- (DELAY
- (ABSOLUTE
- (PORT dataa (818:818:818) (699:699:699))
- (PORT datab (266:266:266) (272:272:272))
- (PORT datac (255:255:255) (273:273:273))
- (PORT datad (252:252:252) (261:261:261))
- (IOPATH dataa combout (408:408:408) (425:425:425))
- (IOPATH datab combout (415:415:415) (425:425:425))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~19)
- (DELAY
- (ABSOLUTE
- (PORT datab (910:910:910) (765:765:765))
- (PORT datad (484:484:484) (412:412:412))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1648:1648:1648) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1680:1680:1680) (1633:1633:1633))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (826:826:826) (721:721:721))
- (PORT datab (903:903:903) (753:753:753))
- (PORT datac (274:274:274) (307:307:307))
- (PORT datad (801:801:801) (739:739:739))
- (IOPATH dataa combout (350:350:350) (371:371:371))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan2\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (512:512:512) (463:463:463))
- (PORT datab (959:959:959) (818:818:818))
- (PORT datad (1152:1152:1152) (978:978:978))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datab combout (380:380:380) (380:380:380))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~20)
- (DELAY
- (ABSOLUTE
- (PORT dataa (860:860:860) (749:749:749))
- (PORT datac (819:819:819) (709:709:709))
- (PORT datad (882:882:882) (754:754:754))
- (IOPATH dataa combout (373:373:373) (380:380:380))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~21)
- (DELAY
- (ABSOLUTE
- (PORT dataa (557:557:557) (463:463:463))
- (PORT datab (907:907:907) (762:762:762))
- (PORT datac (460:460:460) (399:399:399))
- (PORT datad (228:228:228) (235:235:235))
- (IOPATH dataa combout (377:377:377) (371:371:371))
- (IOPATH datab combout (423:423:423) (391:391:391))
- (IOPATH datac combout (305:305:305) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1648:1648:1648) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1680:1680:1680) (1633:1633:1633))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[10\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (828:828:828) (724:724:724))
- (PORT datab (907:907:907) (758:758:758))
- (PORT datac (273:273:273) (306:306:306))
- (PORT datad (903:903:903) (814:814:814))
- (IOPATH dataa combout (350:350:350) (371:371:371))
- (IOPATH datab combout (354:354:354) (380:380:380))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~22)
- (DELAY
- (ABSOLUTE
- (PORT dataa (296:296:296) (319:319:319))
- (PORT datab (866:866:866) (728:728:728))
- (PORT datac (900:900:900) (813:813:813))
- (PORT datad (266:266:266) (280:280:280))
- (IOPATH dataa combout (349:349:349) (371:371:371))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~23)
- (DELAY
- (ABSOLUTE
- (PORT dataa (302:302:302) (318:318:318))
- (PORT datab (273:273:273) (282:282:282))
- (PORT datac (448:448:448) (384:384:384))
- (PORT datad (270:270:270) (287:287:287))
- (IOPATH dataa combout (373:373:373) (380:380:380))
- (IOPATH datab combout (438:438:438) (455:455:455))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[13\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1648:1648:1648) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1680:1680:1680) (1633:1633:1633))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[11\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (825:825:825) (720:720:720))
- (PORT datab (889:889:889) (814:814:814))
- (PORT datac (274:274:274) (308:308:308))
- (PORT datad (840:840:840) (712:712:712))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH datab combout (423:423:423) (380:380:380))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~24)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (297:297:297))
- (PORT datab (311:311:311) (325:325:325))
- (PORT datac (448:448:448) (384:384:384))
- (PORT datad (261:261:261) (275:275:275))
- (IOPATH dataa combout (435:435:435) (407:407:407))
- (IOPATH datab combout (437:437:437) (407:407:407))
- (IOPATH datac combout (301:301:301) (285:285:285))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[15\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1648:1648:1648) (1668:1668:1668))
- (PORT d (90:90:90) (101:101:101))
- (PORT clrn (1680:1680:1680) (1633:1633:1633))
- (IOPATH (posedge clk) q (240:240:240) (240:240:240))
- (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (195:195:195))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[12\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (827:827:827) (723:723:723))
- (PORT datab (831:831:831) (724:724:724))
- (PORT datac (273:273:273) (307:307:307))
- (PORT datad (844:844:844) (717:717:717))
- (IOPATH dataa combout (414:414:414) (444:444:444))
- (IOPATH datab combout (423:423:423) (380:380:380))
- (IOPATH datac combout (305:305:305) (283:283:283))
- (IOPATH datad combout (167:167:167) (143:143:143))
- )
- )
- )
-)
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This file contains Slow Corner delays for the design using part EP4CE15F23C8,
+// with speed grade 8, core voltage 1.2V, and temperature 0 Celsius
+//
+
+//
+// This SDF file should be used for ModelSim (Verilog) only
+//
+
+(DELAYFILE
+ (SDFVERSION "2.1")
+ (DESIGN "vga_colorbar")
+ (DATE "04/29/2025 20:26:33")
+ (VENDOR "Altera")
+ (PROGRAM "Quartus II 32-bit")
+ (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition")
+ (DIVIDER .)
+ (TIMESCALE 1 ps)
+
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (350:350:350) (414:414:414))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_pll")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
+ (DELAY
+ (ABSOLUTE
+ (PORT areset (3921:3921:3921) (3921:3921:3921))
+ (PORT inclk[0] (2063:2063:2063) (2063:2063:2063))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (930:930:930) (857:857:857))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (936:936:936) (846:846:846))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (946:946:946) (850:850:850))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (976:976:976) (871:871:871))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (553:553:553) (535:535:535))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (928:928:928) (836:836:836))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (541:541:541) (539:539:539))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (929:929:929) (860:860:860))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1676:1676:1676) (1630:1630:1630))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (376:376:376) (436:436:436))
+ (PORT datad (318:318:318) (383:383:383))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1678:1678:1678) (1631:1631:1631))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (823:823:823) (702:702:702))
+ (PORT datab (854:854:854) (735:735:735))
+ (PORT datad (295:295:295) (323:323:323))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (431:431:431))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[4\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1192:1192:1192) (1014:1014:1014))
+ (PORT datad (897:897:897) (776:776:776))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1125:1125:1125) (953:953:953))
+ (PORT datab (944:944:944) (799:799:799))
+ (PORT datac (901:901:901) (814:814:814))
+ (PORT datad (1146:1146:1146) (972:972:972))
+ (IOPATH dataa combout (349:349:349) (371:371:371))
+ (IOPATH datab combout (354:354:354) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (816:816:816) (714:714:714))
+ (PORT datab (502:502:502) (440:440:440))
+ (PORT datac (233:233:233) (251:251:251))
+ (PORT datad (253:253:253) (275:275:275))
+ (IOPATH dataa combout (375:375:375) (371:371:371))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~17)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (324:324:324) (343:343:343))
+ (PORT datac (833:833:833) (685:685:685))
+ (PORT datad (272:272:272) (289:289:289))
+ (IOPATH datab combout (437:437:437) (451:451:451))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_clk\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (788:788:788) (813:813:813))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (2044:2044:2044) (2012:2012:2012))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE hsync\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1890:1890:1890) (2195:2195:2195))
+ (IOPATH i o (2832:2832:2832) (2912:2912:2912))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE vsync\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1656:1656:1656) (1999:1999:1999))
+ (IOPATH i o (2842:2842:2842) (2922:2922:2922))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[0\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (2831:2831:2831) (2423:2423:2423))
+ (IOPATH i o (2912:2912:2912) (2832:2832:2832))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[1\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (2775:2775:2775) (2366:2366:2366))
+ (IOPATH i o (2922:2922:2922) (2842:2842:2842))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[2\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (3072:3072:3072) (2605:2605:2605))
+ (IOPATH i o (2922:2922:2922) (2842:2842:2842))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[3\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (3427:3427:3427) (2865:2865:2865))
+ (IOPATH i o (3043:3043:3043) (2991:2991:2991))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[4\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (3421:3421:3421) (2863:2863:2863))
+ (IOPATH i o (3023:3023:3023) (2971:2971:2971))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[5\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1488:1488:1488) (1307:1307:1307))
+ (IOPATH i o (3023:3023:3023) (2971:2971:2971))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[6\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1531:1531:1531) (1319:1319:1319))
+ (IOPATH i o (3023:3023:3023) (2971:2971:2971))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[7\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (2076:2076:2076) (1758:1758:1758))
+ (IOPATH i o (3033:3033:3033) (2981:2981:2981))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[8\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1883:1883:1883) (1625:1625:1625))
+ (IOPATH i o (2993:2993:2993) (2941:2941:2941))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[9\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1877:1877:1877) (1595:1595:1595))
+ (IOPATH i o (3013:3013:3013) (2961:2961:2961))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[10\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1823:1823:1823) (1522:1522:1522))
+ (IOPATH i o (3003:3003:3003) (2951:2951:2951))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[11\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1783:1783:1783) (1502:1502:1502))
+ (IOPATH i o (3003:3003:3003) (2951:2951:2951))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[12\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1438:1438:1438) (1189:1189:1189))
+ (IOPATH i o (3013:3013:3013) (2961:2961:2961))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[13\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1456:1456:1456) (1192:1192:1192))
+ (IOPATH i o (3003:3003:3003) (2951:2951:2951))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[14\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1057:1057:1057) (866:866:866))
+ (IOPATH i o (3013:3013:3013) (2961:2961:2961))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[15\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1090:1090:1090) (891:891:891))
+ (IOPATH i o (3003:3003:3003) (2951:2951:2951))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (346:346:346) (403:403:403))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_rst_n\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (748:748:748) (773:773:773))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (2611:2611:2611) (2849:2849:2849))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (4061:4061:4061) (3964:3964:3964))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE rst_n\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1998:1998:1998) (2217:2217:2217))
+ (PORT datab (316:316:316) (370:370:370))
+ (PORT datac (3330:3330:3330) (3369:3369:3369))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE rst_n\~0clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (2132:2132:2132) (1906:1906:1906))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1678:1678:1678) (1631:1631:1631))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (410:410:410))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (348:348:348) (406:406:406))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1678:1678:1678) (1631:1631:1631))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (339:339:339) (395:395:395))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1678:1678:1678) (1631:1631:1631))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (898:898:898) (788:788:788))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (347:347:347) (405:405:405))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1678:1678:1678) (1631:1631:1631))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (342:342:342) (402:402:402))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1678:1678:1678) (1631:1631:1631))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (381:381:381) (442:442:442))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (326:326:326) (392:392:392))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (841:841:841) (693:693:693))
+ (PORT datac (229:229:229) (244:244:244))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1678:1678:1678) (1631:1631:1631))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (783:783:783) (625:625:625))
+ (PORT datac (249:249:249) (266:266:266))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1647:1647:1647) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1679:1679:1679) (1632:1632:1632))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (645:645:645) (596:596:596))
+ (PORT datab (366:366:366) (429:429:429))
+ (PORT datac (839:839:839) (745:745:745))
+ (PORT datad (306:306:306) (366:366:366))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1678:1678:1678) (1631:1631:1631))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (351:351:351) (415:415:415))
+ (PORT datab (348:348:348) (406:406:406))
+ (PORT datac (306:306:306) (372:372:372))
+ (PORT datad (308:308:308) (368:368:368))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (868:868:868) (778:778:778))
+ (PORT datab (732:732:732) (605:605:605))
+ (PORT datac (711:711:711) (589:589:589))
+ (PORT datad (892:892:892) (802:802:802))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (400:400:400) (391:391:391))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (270:270:270) (277:277:277))
+ (PORT datac (799:799:799) (659:659:659))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1646:1646:1646) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1678:1678:1678) (1631:1631:1631))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (375:375:375) (435:435:435))
+ (PORT datad (317:317:317) (382:382:382))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (826:826:826) (750:750:750))
+ (PORT datab (904:904:904) (785:785:785))
+ (PORT datac (753:753:753) (626:626:626))
+ (PORT datad (304:304:304) (363:363:363))
+ (IOPATH dataa combout (420:420:420) (400:400:400))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (831:831:831) (712:712:712))
+ (PORT datab (858:858:858) (739:739:739))
+ (PORT datad (291:291:291) (319:319:319))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (431:431:431))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1676:1676:1676) (1630:1630:1630))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (858:858:858) (738:738:738))
+ (PORT datab (852:852:852) (733:733:733))
+ (PORT datad (296:296:296) (324:324:324))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (431:431:431))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1676:1676:1676) (1630:1630:1630))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1093:1093:1093) (902:902:902))
+ (PORT datab (852:852:852) (732:732:732))
+ (PORT datad (297:297:297) (325:325:325))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (431:431:431))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1676:1676:1676) (1630:1630:1630))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (988:988:988) (890:890:890))
+ (PORT datab (346:346:346) (404:404:404))
+ (PORT datac (303:303:303) (370:370:370))
+ (PORT datad (304:304:304) (363:363:363))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (400:400:400) (391:391:391))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1168:1168:1168) (956:956:956))
+ (PORT datab (856:856:856) (736:736:736))
+ (PORT datad (293:293:293) (321:321:321))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (431:431:431))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1676:1676:1676) (1630:1630:1630))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (296:296:296) (317:317:317))
+ (PORT datab (347:347:347) (405:405:405))
+ (PORT datac (443:443:443) (380:380:380))
+ (PORT datad (314:314:314) (376:376:376))
+ (IOPATH dataa combout (420:420:420) (371:371:371))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (926:926:926) (775:775:775))
+ (PORT datab (852:852:852) (732:732:732))
+ (PORT datad (296:296:296) (324:324:324))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (431:431:431))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1676:1676:1676) (1630:1630:1630))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (347:347:347) (405:405:405))
+ (PORT datac (305:305:305) (371:371:371))
+ (PORT datad (306:306:306) (365:365:365))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (872:872:872) (735:735:735))
+ (PORT datab (856:856:856) (737:737:737))
+ (PORT datad (293:293:293) (321:321:321))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (431:431:431))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1644:1644:1644) (1665:1665:1665))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1676:1676:1676) (1630:1630:1630))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (553:553:553) (535:535:535))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (859:859:859) (755:755:755))
+ (PORT datab (270:270:270) (277:277:277))
+ (PORT datad (1181:1181:1181) (989:989:989))
+ (IOPATH dataa combout (374:374:374) (392:392:392))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1647:1647:1647) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1679:1679:1679) (1631:1631:1631))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (311:311:311) (372:372:372))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (859:859:859) (756:756:756))
+ (PORT datab (271:271:271) (279:279:279))
+ (PORT datad (1181:1181:1181) (990:990:990))
+ (IOPATH dataa combout (374:374:374) (392:392:392))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1647:1647:1647) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1679:1679:1679) (1631:1631:1631))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (272:272:272) (284:284:284))
+ (PORT datab (1241:1241:1241) (1030:1030:1030))
+ (PORT datad (817:817:817) (712:712:712))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (431:431:431))
+ (IOPATH datac combout (415:415:415) (429:429:429))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1647:1647:1647) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1679:1679:1679) (1631:1631:1631))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (340:340:340) (399:399:399))
+ (PORT datab (338:338:338) (393:393:393))
+ (PORT datac (842:842:842) (777:777:777))
+ (PORT datad (865:865:865) (793:793:793))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (295:295:295))
+ (PORT datab (353:353:353) (414:414:414))
+ (PORT datac (930:930:930) (847:847:847))
+ (PORT datad (250:250:250) (271:271:271))
+ (IOPATH dataa combout (349:349:349) (377:377:377))
+ (IOPATH datab combout (354:354:354) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan6\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (347:347:347) (405:405:405))
+ (PORT datad (313:313:313) (375:375:375))
+ (IOPATH datab combout (384:384:384) (398:398:398))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (927:927:927) (858:858:858))
+ (PORT datab (349:349:349) (408:408:408))
+ (PORT datac (511:511:511) (502:502:502))
+ (PORT datad (501:501:501) (496:496:496))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (406:406:406) (453:453:453))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (277:277:277) (292:292:292))
+ (PORT datab (268:268:268) (275:275:275))
+ (PORT datac (691:691:691) (555:555:555))
+ (PORT datad (254:254:254) (275:275:275))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (400:400:400) (391:391:391))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (902:902:902) (782:782:782))
+ (PORT datac (821:821:821) (736:736:736))
+ (PORT datad (303:303:303) (362:362:362))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb_valid\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (728:728:728) (604:604:604))
+ (PORT datab (950:950:950) (840:840:840))
+ (PORT datac (751:751:751) (625:625:625))
+ (PORT datad (241:241:241) (255:255:255))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH datab combout (423:423:423) (386:386:386))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (605:605:605) (556:556:556))
+ (PORT datab (810:810:810) (721:721:721))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (605:605:605) (557:557:557))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (550:550:550) (532:532:532))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (559:559:559) (540:540:540))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (831:831:831) (743:743:743))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (603:603:603) (555:555:555))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datab cout (497:497:497) (381:381:381))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (823:823:823) (747:747:747))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (324:324:324) (343:343:343))
+ (PORT datad (271:271:271) (289:289:289))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (627:627:627) (578:578:578))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH dataa cout (486:486:486) (375:375:375))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ (IOPATH cin cout (63:63:63) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (606:606:606) (557:557:557))
+ (IOPATH dataa combout (435:435:435) (444:444:444))
+ (IOPATH cin combout (549:549:549) (519:519:519))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (824:824:824) (705:705:705))
+ (PORT datab (269:269:269) (276:276:276))
+ (PORT datac (257:257:257) (276:276:276))
+ (PORT datad (260:260:260) (272:272:272))
+ (IOPATH dataa combout (404:404:404) (450:450:450))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (295:295:295) (317:317:317))
+ (PORT datac (926:926:926) (842:842:842))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan4\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (755:755:755) (628:628:628))
+ (PORT datab (951:951:951) (842:842:842))
+ (PORT datac (750:750:750) (623:623:623))
+ (PORT datad (244:244:244) (258:258:258))
+ (IOPATH dataa combout (374:374:374) (392:392:392))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (728:728:728) (604:604:604))
+ (PORT datab (951:951:951) (842:842:842))
+ (PORT datac (710:710:710) (588:588:588))
+ (PORT datad (242:242:242) (256:256:256))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH datab combout (384:384:384) (386:386:386))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (825:825:825) (721:721:721))
+ (PORT datab (902:902:902) (752:752:752))
+ (PORT datac (226:226:226) (242:242:242))
+ (PORT datad (227:227:227) (235:235:235))
+ (IOPATH dataa combout (349:349:349) (377:377:377))
+ (IOPATH datab combout (354:354:354) (380:380:380))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1194:1194:1194) (1016:1016:1016))
+ (PORT datad (824:824:824) (721:721:721))
+ (IOPATH datab combout (437:437:437) (425:425:425))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (271:271:271) (283:283:283))
+ (PORT datab (867:867:867) (729:729:729))
+ (PORT datac (897:897:897) (809:809:809))
+ (PORT datad (262:262:262) (277:277:277))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (866:866:866) (763:763:763))
+ (PORT datab (943:943:943) (798:798:798))
+ (PORT datac (898:898:898) (810:810:810))
+ (PORT datad (1151:1151:1151) (977:977:977))
+ (IOPATH dataa combout (375:375:375) (371:371:371))
+ (IOPATH datab combout (377:377:377) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (295:295:295) (317:317:317))
+ (PORT datab (304:304:304) (317:317:317))
+ (PORT datac (899:899:899) (811:811:811))
+ (PORT datad (472:472:472) (420:420:420))
+ (IOPATH dataa combout (428:428:428) (450:450:450))
+ (IOPATH datab combout (384:384:384) (386:386:386))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[4\]\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (900:900:900) (813:813:813))
+ (PORT datad (823:823:823) (719:719:719))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (892:892:892) (727:727:727))
+ (PORT datab (325:325:325) (345:345:345))
+ (PORT datac (780:780:780) (666:666:666))
+ (PORT datad (271:271:271) (289:289:289))
+ (IOPATH dataa combout (394:394:394) (400:400:400))
+ (IOPATH datab combout (400:400:400) (391:391:391))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (296:296:296) (319:319:319))
+ (PORT datab (291:291:291) (299:299:299))
+ (PORT datac (232:232:232) (250:250:250))
+ (PORT datad (778:778:778) (673:673:673))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (567:567:567) (475:475:475))
+ (PORT datab (276:276:276) (286:286:286))
+ (PORT datac (271:271:271) (287:287:287))
+ (PORT datad (235:235:235) (245:245:245))
+ (IOPATH dataa combout (351:351:351) (371:371:371))
+ (IOPATH datab combout (357:357:357) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1648:1648:1648) (1668:1668:1668))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1680:1680:1680) (1633:1633:1633))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (828:828:828) (724:724:724))
+ (PORT datab (318:318:318) (341:341:341))
+ (PORT datac (822:822:822) (751:751:751))
+ (PORT datad (846:846:846) (719:719:719))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (423:423:423) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[4\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (866:866:866) (764:764:764))
+ (PORT datab (951:951:951) (842:842:842))
+ (PORT datac (1086:1086:1086) (919:919:919))
+ (PORT datad (1154:1154:1154) (980:980:980))
+ (IOPATH dataa combout (373:373:373) (380:380:380))
+ (IOPATH datab combout (384:384:384) (386:386:386))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (279:279:279) (295:295:295))
+ (PORT datab (307:307:307) (322:322:322))
+ (PORT datac (234:234:234) (253:253:253))
+ (PORT datad (488:488:488) (418:418:418))
+ (IOPATH dataa combout (435:435:435) (425:425:425))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1648:1648:1648) (1668:1668:1668))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1680:1680:1680) (1633:1633:1633))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[1\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (824:824:824) (719:719:719))
+ (PORT datab (900:900:900) (750:750:750))
+ (PORT datac (274:274:274) (308:308:308))
+ (PORT datad (883:883:883) (795:795:795))
+ (IOPATH dataa combout (350:350:350) (371:371:371))
+ (IOPATH datab combout (354:354:354) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~25)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (541:541:541) (447:447:447))
+ (PORT datab (501:501:501) (443:443:443))
+ (PORT datac (248:248:248) (264:264:264))
+ (PORT datad (791:791:791) (683:683:683))
+ (IOPATH dataa combout (420:420:420) (371:371:371))
+ (IOPATH datab combout (423:423:423) (398:398:398))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1647:1647:1647) (1666:1666:1666))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1679:1679:1679) (1632:1632:1632))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[5\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (824:824:824) (719:719:719))
+ (PORT datab (900:900:900) (749:749:749))
+ (PORT datac (275:275:275) (308:308:308))
+ (PORT datad (277:277:277) (332:332:332))
+ (IOPATH dataa combout (350:350:350) (371:371:371))
+ (IOPATH datab combout (354:354:354) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (891:891:891) (727:727:727))
+ (PORT datab (323:323:323) (343:343:343))
+ (PORT datac (774:774:774) (661:661:661))
+ (PORT datad (271:271:271) (289:289:289))
+ (IOPATH dataa combout (420:420:420) (444:444:444))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (300:300:300) (310:310:310))
+ (PORT datac (781:781:781) (668:668:668))
+ (PORT datad (285:285:285) (307:307:307))
+ (IOPATH datab combout (423:423:423) (451:451:451))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~26)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (818:818:818) (699:699:699))
+ (PORT datab (266:266:266) (272:272:272))
+ (PORT datac (255:255:255) (273:273:273))
+ (PORT datad (252:252:252) (261:261:261))
+ (IOPATH dataa combout (408:408:408) (425:425:425))
+ (IOPATH datab combout (415:415:415) (425:425:425))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~19)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (910:910:910) (765:765:765))
+ (PORT datad (484:484:484) (412:412:412))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1648:1648:1648) (1668:1668:1668))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1680:1680:1680) (1633:1633:1633))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (826:826:826) (721:721:721))
+ (PORT datab (903:903:903) (753:753:753))
+ (PORT datac (274:274:274) (307:307:307))
+ (PORT datad (801:801:801) (739:739:739))
+ (IOPATH dataa combout (350:350:350) (371:371:371))
+ (IOPATH datab combout (354:354:354) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan2\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (512:512:512) (463:463:463))
+ (PORT datab (959:959:959) (818:818:818))
+ (PORT datad (1152:1152:1152) (978:978:978))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (380:380:380) (380:380:380))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (860:860:860) (749:749:749))
+ (PORT datac (819:819:819) (709:709:709))
+ (PORT datad (882:882:882) (754:754:754))
+ (IOPATH dataa combout (373:373:373) (380:380:380))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~21)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (557:557:557) (463:463:463))
+ (PORT datab (907:907:907) (762:762:762))
+ (PORT datac (460:460:460) (399:399:399))
+ (PORT datad (228:228:228) (235:235:235))
+ (IOPATH dataa combout (377:377:377) (371:371:371))
+ (IOPATH datab combout (423:423:423) (391:391:391))
+ (IOPATH datac combout (305:305:305) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1648:1648:1648) (1668:1668:1668))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1680:1680:1680) (1633:1633:1633))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[10\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (828:828:828) (724:724:724))
+ (PORT datab (907:907:907) (758:758:758))
+ (PORT datac (273:273:273) (306:306:306))
+ (PORT datad (903:903:903) (814:814:814))
+ (IOPATH dataa combout (350:350:350) (371:371:371))
+ (IOPATH datab combout (354:354:354) (380:380:380))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (296:296:296) (319:319:319))
+ (PORT datab (866:866:866) (728:728:728))
+ (PORT datac (900:900:900) (813:813:813))
+ (PORT datad (266:266:266) (280:280:280))
+ (IOPATH dataa combout (349:349:349) (371:371:371))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (301:301:301) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~23)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (302:302:302) (318:318:318))
+ (PORT datab (273:273:273) (282:282:282))
+ (PORT datac (448:448:448) (384:384:384))
+ (PORT datad (270:270:270) (287:287:287))
+ (IOPATH dataa combout (373:373:373) (380:380:380))
+ (IOPATH datab combout (438:438:438) (455:455:455))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[13\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1648:1648:1648) (1668:1668:1668))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1680:1680:1680) (1633:1633:1633))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[11\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (825:825:825) (720:720:720))
+ (PORT datab (889:889:889) (814:814:814))
+ (PORT datac (274:274:274) (308:308:308))
+ (PORT datad (840:840:840) (712:712:712))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (423:423:423) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~24)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (281:281:281) (297:297:297))
+ (PORT datab (311:311:311) (325:325:325))
+ (PORT datac (448:448:448) (384:384:384))
+ (PORT datad (261:261:261) (275:275:275))
+ (IOPATH dataa combout (435:435:435) (407:407:407))
+ (IOPATH datab combout (437:437:437) (407:407:407))
+ (IOPATH datac combout (301:301:301) (285:285:285))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[15\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1648:1648:1648) (1668:1668:1668))
+ (PORT d (90:90:90) (101:101:101))
+ (PORT clrn (1680:1680:1680) (1633:1633:1633))
+ (IOPATH (posedge clk) q (240:240:240) (240:240:240))
+ (IOPATH (negedge clrn) q (222:222:222) (222:222:222))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (195:195:195))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[12\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (827:827:827) (723:723:723))
+ (PORT datab (831:831:831) (724:724:724))
+ (PORT datac (273:273:273) (307:307:307))
+ (PORT datad (844:844:844) (717:717:717))
+ (IOPATH dataa combout (414:414:414) (444:444:444))
+ (IOPATH datab combout (423:423:423) (380:380:380))
+ (IOPATH datac combout (305:305:305) (283:283:283))
+ (IOPATH datad combout (167:167:167) (143:143:143))
+ )
+ )
+ )
+)
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_slow.vo b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_slow.vo
index cb1e982..3bf71c5 100644
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_slow.vo
+++ b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_slow.vo
@@ -1,2833 +1,2833 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-// VENDOR "Altera"
-// PROGRAM "Quartus II 64-Bit"
-// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version"
-
-// DATE "06/02/2023 04:42:20"
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This Verilog file should be used for ModelSim (Verilog) only
-//
-
-`timescale 1 ps/ 1 ps
-
-module vga_colorbar (
- sys_clk,
- sys_rst_n,
- hsync,
- vsync,
- rgb);
-input sys_clk;
-input sys_rst_n;
-output hsync;
-output vsync;
-output [15:0] rgb;
-
-// Design Ports Information
-// hsync => Location: PIN_AA18, I/O Standard: 2.5 V, Current Strength: Default
-// vsync => Location: PIN_AB17, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[0] => Location: PIN_AB18, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[1] => Location: PIN_AA19, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[2] => Location: PIN_AB19, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[3] => Location: PIN_Y21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[4] => Location: PIN_W19, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[5] => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[6] => Location: PIN_U21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[7] => Location: PIN_U22, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[8] => Location: PIN_N20, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[9] => Location: PIN_N21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[10] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[11] => Location: PIN_M22, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[12] => Location: PIN_L21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[13] => Location: PIN_L22, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[14] => Location: PIN_K21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[15] => Location: PIN_J21, I/O Standard: 2.5 V, Current Strength: Default
-// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
-// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
-
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-tri1 devclrn;
-tri1 devpor;
-tri1 devoe;
-// synopsys translate_off
-initial $sdf_annotate("vga_colorbar_8_1200mv_85c_v_slow.sdo");
-// synopsys translate_on
-
-wire \vga_ctrl_inst|Add0~4_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
-wire \vga_ctrl_inst|Add1~0_combout ;
-wire \vga_ctrl_inst|Add1~2_combout ;
-wire \vga_ctrl_inst|Add1~4_combout ;
-wire \vga_ctrl_inst|Add1~6_combout ;
-wire \vga_ctrl_inst|Add1~8_combout ;
-wire \vga_ctrl_inst|Add1~10_combout ;
-wire \vga_ctrl_inst|Add1~12_combout ;
-wire \vga_ctrl_inst|Add1~16_combout ;
-wire \vga_ctrl_inst|Equal0~0_combout ;
-wire \vga_ctrl_inst|cnt_v[8]~3_combout ;
-wire \vga_pic_inst|pix_data[4]~5_combout ;
-wire \vga_pic_inst|pix_data~8_combout ;
-wire \vga_pic_inst|pix_data~15_combout ;
-wire \vga_pic_inst|pix_data~17_combout ;
-wire \sys_clk~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~0_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
-wire \sys_rst_n~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
-wire \rst_n~0_combout ;
-wire \rst_n~0clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~1 ;
-wire \vga_ctrl_inst|Add0~3 ;
-wire \vga_ctrl_inst|Add0~5 ;
-wire \vga_ctrl_inst|Add0~6_combout ;
-wire \vga_ctrl_inst|Add0~7 ;
-wire \vga_ctrl_inst|Add0~8_combout ;
-wire \vga_ctrl_inst|Add0~9 ;
-wire \vga_ctrl_inst|Add0~11 ;
-wire \vga_ctrl_inst|Add0~12_combout ;
-wire \vga_ctrl_inst|Add0~13 ;
-wire \vga_ctrl_inst|Add0~14_combout ;
-wire \vga_ctrl_inst|Add0~15 ;
-wire \vga_ctrl_inst|Add0~16_combout ;
-wire \vga_ctrl_inst|Add0~17 ;
-wire \vga_ctrl_inst|Add0~18_combout ;
-wire \vga_ctrl_inst|cnt_h~1_combout ;
-wire \vga_ctrl_inst|Add0~10_combout ;
-wire \vga_ctrl_inst|cnt_h~0_combout ;
-wire \vga_ctrl_inst|Equal0~2_combout ;
-wire \vga_ctrl_inst|Add0~2_combout ;
-wire \vga_ctrl_inst|Equal0~1_combout ;
-wire \vga_ctrl_inst|Equal0~3_combout ;
-wire \vga_ctrl_inst|cnt_h~2_combout ;
-wire \vga_ctrl_inst|LessThan2~0_combout ;
-wire \vga_ctrl_inst|LessThan0~0_combout ;
-wire \vga_ctrl_inst|cnt_v[0]~9_combout ;
-wire \vga_ctrl_inst|cnt_v[2]~8_combout ;
-wire \vga_ctrl_inst|cnt_v[4]~6_combout ;
-wire \vga_ctrl_inst|always1~1_combout ;
-wire \vga_ctrl_inst|cnt_v[1]~0_combout ;
-wire \vga_ctrl_inst|always1~2_combout ;
-wire \vga_ctrl_inst|cnt_v[3]~7_combout ;
-wire \vga_ctrl_inst|LessThan6~0_combout ;
-wire \vga_ctrl_inst|cnt_v[5]~2_combout ;
-wire \vga_ctrl_inst|Add1~1 ;
-wire \vga_ctrl_inst|Add1~3 ;
-wire \vga_ctrl_inst|Add1~5 ;
-wire \vga_ctrl_inst|Add1~7 ;
-wire \vga_ctrl_inst|Add1~9 ;
-wire \vga_ctrl_inst|Add1~11 ;
-wire \vga_ctrl_inst|Add1~13 ;
-wire \vga_ctrl_inst|Add1~14_combout ;
-wire \vga_ctrl_inst|cnt_v[7]~4_combout ;
-wire \vga_ctrl_inst|Add1~15 ;
-wire \vga_ctrl_inst|Add1~17 ;
-wire \vga_ctrl_inst|Add1~18_combout ;
-wire \vga_ctrl_inst|cnt_v[9]~1_combout ;
-wire \vga_ctrl_inst|cnt_v[6]~5_combout ;
-wire \vga_ctrl_inst|always1~0_combout ;
-wire \vga_ctrl_inst|LessThan1~0_combout ;
-wire \vga_ctrl_inst|LessThan6~1_combout ;
-wire \vga_ctrl_inst|pix_data_req~1_combout ;
-wire \vga_ctrl_inst|pix_data_req~2_combout ;
-wire \vga_ctrl_inst|LessThan2~1_combout ;
-wire \vga_ctrl_inst|rgb_valid~0_combout ;
-wire \vga_ctrl_inst|Add2~1_cout ;
-wire \vga_ctrl_inst|Add2~3_cout ;
-wire \vga_ctrl_inst|Add2~5_cout ;
-wire \vga_ctrl_inst|Add2~7_cout ;
-wire \vga_ctrl_inst|Add2~9_cout ;
-wire \vga_ctrl_inst|Add2~11 ;
-wire \vga_ctrl_inst|Add2~12_combout ;
-wire \vga_ctrl_inst|Add2~10_combout ;
-wire \vga_pic_inst|LessThan14~0_combout ;
-wire \vga_ctrl_inst|Add2~13 ;
-wire \vga_ctrl_inst|Add2~15 ;
-wire \vga_ctrl_inst|Add2~16_combout ;
-wire \vga_ctrl_inst|Add2~14_combout ;
-wire \vga_pic_inst|LessThan6~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~0_combout ;
-wire \vga_ctrl_inst|LessThan4~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~3_combout ;
-wire \vga_ctrl_inst|pix_data_req~4_combout ;
-wire \vga_pic_inst|pix_data~4_combout ;
-wire \vga_pic_inst|pix_data~9_combout ;
-wire \vga_pic_inst|LessThan17~0_combout ;
-wire \vga_pic_inst|pix_data~6_combout ;
-wire \vga_pic_inst|pix_data[4]~10_combout ;
-wire \vga_pic_inst|pix_data~11_combout ;
-wire \vga_pic_inst|pix_data~12_combout ;
-wire \vga_pic_inst|pix_data~13_combout ;
-wire \vga_ctrl_inst|rgb[0]~0_combout ;
-wire \vga_pic_inst|pix_data[4]~7_combout ;
-wire \vga_pic_inst|pix_data~16_combout ;
-wire \vga_ctrl_inst|rgb[1]~1_combout ;
-wire \vga_pic_inst|pix_data~25_combout ;
-wire \vga_ctrl_inst|rgb[5]~2_combout ;
-wire \vga_pic_inst|pix_data~18_combout ;
-wire \vga_pic_inst|pix_data~14_combout ;
-wire \vga_pic_inst|pix_data~26_combout ;
-wire \vga_pic_inst|pix_data~19_combout ;
-wire \vga_ctrl_inst|rgb[7]~3_combout ;
-wire \vga_pic_inst|LessThan2~2_combout ;
-wire \vga_pic_inst|pix_data~20_combout ;
-wire \vga_pic_inst|pix_data~21_combout ;
-wire \vga_ctrl_inst|rgb[10]~4_combout ;
-wire \vga_pic_inst|pix_data~22_combout ;
-wire \vga_pic_inst|pix_data~23_combout ;
-wire \vga_ctrl_inst|rgb[11]~5_combout ;
-wire \vga_pic_inst|pix_data~24_combout ;
-wire \vga_ctrl_inst|rgb[12]~6_combout ;
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
-wire [9:0] \vga_ctrl_inst|cnt_v ;
-wire [9:0] \vga_ctrl_inst|cnt_h ;
-wire [15:0] \vga_pic_inst|pix_data ;
-
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
-
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
-
-// Location: LCCOMB_X35_Y23_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
-// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~3 ),
- .combout(\vga_ctrl_inst|Add0~4_combout ),
- .cout(\vga_ctrl_inst|Add0~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: PLL_2
-cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
- .areset(!\sys_rst_n~input_o ),
- .pfdena(vcc),
- .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .phaseupdown(gnd),
- .phasestep(gnd),
- .scandata(gnd),
- .scanclk(gnd),
- .scanclkena(vcc),
- .configupdate(gnd),
- .clkswitch(gnd),
- .inclk({gnd,\sys_clk~input_o }),
- .phasecounterselect(3'b000),
- .phasedone(),
- .scandataout(),
- .scandone(),
- .activeclock(),
- .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .vcooverrange(),
- .vcounderrange(),
- .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
- .clkbad());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 12;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 12;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 12;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 6891;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 208;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
-// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
-
- .dataa(\vga_ctrl_inst|cnt_v [0]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add1~0_combout ),
- .cout(\vga_ctrl_inst|Add1~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h55AA;
-defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
-// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~1 ),
- .combout(\vga_ctrl_inst|Add1~2_combout ),
- .cout(\vga_ctrl_inst|Add1~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
-// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~3 ),
- .combout(\vga_ctrl_inst|Add1~4_combout ),
- .cout(\vga_ctrl_inst|Add1~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
-// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~5 ),
- .combout(\vga_ctrl_inst|Add1~6_combout ),
- .cout(\vga_ctrl_inst|Add1~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
-// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~7 ),
- .combout(\vga_ctrl_inst|Add1~8_combout ),
- .cout(\vga_ctrl_inst|Add1~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
-// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [5]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~9 ),
- .combout(\vga_ctrl_inst|Add1~10_combout ),
- .cout(\vga_ctrl_inst|Add1~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
-// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [6]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~11 ),
- .combout(\vga_ctrl_inst|Add1~12_combout ),
- .cout(\vga_ctrl_inst|Add1~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N26
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
-// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [8]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~15 ),
- .combout(\vga_ctrl_inst|Add1~16_combout ),
- .cout(\vga_ctrl_inst|Add1~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N13
-dffeas \vga_ctrl_inst|cnt_v[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[8]~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [8] & \vga_ctrl_inst|cnt_h [9])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'hCC00;
-defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N13
-dffeas \vga_ctrl_inst|cnt_h[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N12
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~3 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[8]~3_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~16_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [8]))))
-
- .dataa(\vga_ctrl_inst|Add1~16_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [8]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[8]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8]~3 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[8]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~5 (
-// Equation(s):
-// \vga_pic_inst|pix_data[4]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~16_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~16_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[4]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4]~5 .lut_mask = 16'h00CC;
-defparam \vga_pic_inst|pix_data[4]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~8 (
-// Equation(s):
-// \vga_pic_inst|pix_data~8_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )) # (!\vga_ctrl_inst|Add2~10_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~16_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~8 .lut_mask = 16'hFBFF;
-defparam \vga_pic_inst|pix_data~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N10
-cycloneive_lcell_comb \vga_pic_inst|pix_data~15 (
-// Equation(s):
-// \vga_pic_inst|pix_data~15_combout = (\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|pix_data~11_combout & ((!\vga_pic_inst|pix_data[4]~10_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data~14_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~11_combout ),
- .datab(\vga_pic_inst|pix_data~14_combout ),
- .datac(\vga_pic_inst|pix_data[4]~10_combout ),
- .datad(\vga_pic_inst|pix_data[4]~5_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~15 .lut_mask = 16'h0ACC;
-defparam \vga_pic_inst|pix_data~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
-// Equation(s):
-// \vga_pic_inst|pix_data~17_combout = (\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout )) # (!\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~10_combout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~17_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0C3C;
-defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y15_N22
-cycloneive_io_ibuf \sys_clk~input (
- .i(sys_clk),
- .ibar(gnd),
- .o(\sys_clk~input_o ));
-// synopsys translate_off
-defparam \sys_clk~input .bus_hold = "false";
-defparam \sys_clk~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: CLKCTRL_G8
-cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: IOOBUF_X35_Y0_N30
-cycloneive_io_obuf \hsync~output (
- .i(!\vga_ctrl_inst|LessThan0~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(hsync),
- .obar());
-// synopsys translate_off
-defparam \hsync~output .bus_hold = "false";
-defparam \hsync~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X28_Y0_N2
-cycloneive_io_obuf \vsync~output (
- .i(!\vga_ctrl_inst|LessThan1~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(vsync),
- .obar());
-// synopsys translate_off
-defparam \vsync~output .bus_hold = "false";
-defparam \vsync~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X32_Y0_N2
-cycloneive_io_obuf \rgb[0]~output (
- .i(\vga_ctrl_inst|rgb[0]~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[0]),
- .obar());
-// synopsys translate_off
-defparam \rgb[0]~output .bus_hold = "false";
-defparam \rgb[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X35_Y0_N23
-cycloneive_io_obuf \rgb[1]~output (
- .i(\vga_ctrl_inst|rgb[1]~1_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[1]),
- .obar());
-// synopsys translate_off
-defparam \rgb[1]~output .bus_hold = "false";
-defparam \rgb[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X35_Y0_N16
-cycloneive_io_obuf \rgb[2]~output (
- .i(\vga_ctrl_inst|rgb[0]~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[2]),
- .obar());
-// synopsys translate_off
-defparam \rgb[2]~output .bus_hold = "false";
-defparam \rgb[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y4_N9
-cycloneive_io_obuf \rgb[3]~output (
- .i(\vga_ctrl_inst|rgb[1]~1_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[3]),
- .obar());
-// synopsys translate_off
-defparam \rgb[3]~output .bus_hold = "false";
-defparam \rgb[3]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y3_N9
-cycloneive_io_obuf \rgb[4]~output (
- .i(\vga_ctrl_inst|rgb[1]~1_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[4]),
- .obar());
-// synopsys translate_off
-defparam \rgb[4]~output .bus_hold = "false";
-defparam \rgb[4]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y3_N16
-cycloneive_io_obuf \rgb[5]~output (
- .i(\vga_ctrl_inst|rgb[5]~2_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[5]),
- .obar());
-// synopsys translate_off
-defparam \rgb[5]~output .bus_hold = "false";
-defparam \rgb[5]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y8_N2
-cycloneive_io_obuf \rgb[6]~output (
- .i(\vga_ctrl_inst|rgb[5]~2_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[6]),
- .obar());
-// synopsys translate_off
-defparam \rgb[6]~output .bus_hold = "false";
-defparam \rgb[6]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y8_N9
-cycloneive_io_obuf \rgb[7]~output (
- .i(\vga_ctrl_inst|rgb[7]~3_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[7]),
- .obar());
-// synopsys translate_off
-defparam \rgb[7]~output .bus_hold = "false";
-defparam \rgb[7]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y12_N16
-cycloneive_io_obuf \rgb[8]~output (
- .i(\vga_ctrl_inst|rgb[5]~2_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[8]),
- .obar());
-// synopsys translate_off
-defparam \rgb[8]~output .bus_hold = "false";
-defparam \rgb[8]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y13_N9
-cycloneive_io_obuf \rgb[9]~output (
- .i(\vga_ctrl_inst|rgb[7]~3_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[9]),
- .obar());
-// synopsys translate_off
-defparam \rgb[9]~output .bus_hold = "false";
-defparam \rgb[9]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y14_N23
-cycloneive_io_obuf \rgb[10]~output (
- .i(\vga_ctrl_inst|rgb[10]~4_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[10]),
- .obar());
-// synopsys translate_off
-defparam \rgb[10]~output .bus_hold = "false";
-defparam \rgb[10]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y13_N2
-cycloneive_io_obuf \rgb[11]~output (
- .i(\vga_ctrl_inst|rgb[11]~5_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[11]),
- .obar());
-// synopsys translate_off
-defparam \rgb[11]~output .bus_hold = "false";
-defparam \rgb[11]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y18_N16
-cycloneive_io_obuf \rgb[12]~output (
- .i(\vga_ctrl_inst|rgb[12]~6_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[12]),
- .obar());
-// synopsys translate_off
-defparam \rgb[12]~output .bus_hold = "false";
-defparam \rgb[12]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y18_N23
-cycloneive_io_obuf \rgb[13]~output (
- .i(\vga_ctrl_inst|rgb[11]~5_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[13]),
- .obar());
-// synopsys translate_off
-defparam \rgb[13]~output .bus_hold = "false";
-defparam \rgb[13]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y19_N9
-cycloneive_io_obuf \rgb[14]~output (
- .i(\vga_ctrl_inst|rgb[12]~6_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[14]),
- .obar());
-// synopsys translate_off
-defparam \rgb[14]~output .bus_hold = "false";
-defparam \rgb[14]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y20_N23
-cycloneive_io_obuf \rgb[15]~output (
- .i(\vga_ctrl_inst|rgb[12]~6_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[15]),
- .obar());
-// synopsys translate_off
-defparam \rgb[15]~output .bus_hold = "false";
-defparam \rgb[15]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
-// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add0~0_combout ),
- .cout(\vga_ctrl_inst|Add0~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
-defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y3_N0
-cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
-// Equation(s):
-// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y4_N1
-cycloneive_io_ibuf \sys_rst_n~input (
- .i(sys_rst_n),
- .ibar(gnd),
- .o(\sys_rst_n~input_o ));
-// synopsys translate_off
-defparam \sys_rst_n~input .bus_hold = "false";
-defparam \sys_rst_n~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: FF_X35_Y3_N1
-dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .asdata(vcc),
- .clrn(\sys_rst_n~input_o ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y3_N10
-cycloneive_lcell_comb \rst_n~0 (
-// Equation(s):
-// \rst_n~0_combout = ((!\sys_rst_n~input_o ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked )
-
- .dataa(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .datac(\sys_rst_n~input_o ),
- .datad(gnd),
- .cin(gnd),
- .combout(\rst_n~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \rst_n~0 .lut_mask = 16'h7F7F;
-defparam \rst_n~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: CLKCTRL_G16
-cycloneive_clkctrl \rst_n~0clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\rst_n~0clkctrl_outclk ));
-// synopsys translate_off
-defparam \rst_n~0clkctrl .clock_type = "global clock";
-defparam \rst_n~0clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N9
-dffeas \vga_ctrl_inst|cnt_h[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
-// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~1 ),
- .combout(\vga_ctrl_inst|Add0~2_combout ),
- .cout(\vga_ctrl_inst|Add0~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
-// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~5 ),
- .combout(\vga_ctrl_inst|Add0~6_combout ),
- .cout(\vga_ctrl_inst|Add0~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N15
-dffeas \vga_ctrl_inst|cnt_h[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
-// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~7 ),
- .combout(\vga_ctrl_inst|Add0~8_combout ),
- .cout(\vga_ctrl_inst|Add0~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N17
-dffeas \vga_ctrl_inst|cnt_h[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
-// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
-
- .dataa(\vga_ctrl_inst|cnt_h [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~9 ),
- .combout(\vga_ctrl_inst|Add0~10_combout ),
- .cout(\vga_ctrl_inst|Add0~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
-// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~11 ),
- .combout(\vga_ctrl_inst|Add0~12_combout ),
- .cout(\vga_ctrl_inst|Add0~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N21
-dffeas \vga_ctrl_inst|cnt_h[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~12_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
-// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~13 ),
- .combout(\vga_ctrl_inst|Add0~14_combout ),
- .cout(\vga_ctrl_inst|Add0~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N23
-dffeas \vga_ctrl_inst|cnt_h[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~14_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
-// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~15 ),
- .combout(\vga_ctrl_inst|Add0~16_combout ),
- .cout(\vga_ctrl_inst|Add0~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N26
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~18_combout = \vga_ctrl_inst|Add0~17 $ (\vga_ctrl_inst|cnt_h [9])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(\vga_ctrl_inst|Add0~17 ),
- .combout(\vga_ctrl_inst|Add0~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~1_combout = (!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|Add0~18_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|Add0~18_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h3030;
-defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N1
-dffeas \vga_ctrl_inst|cnt_h[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N24
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & !\vga_ctrl_inst|Equal0~3_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add0~10_combout ),
- .datac(\vga_ctrl_inst|Equal0~3_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h0C0C;
-defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y23_N25
-dffeas \vga_ctrl_inst|cnt_h[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N30
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|cnt_h [5] & !\vga_ctrl_inst|cnt_h [6])))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [9]),
- .datac(\vga_ctrl_inst|cnt_h [5]),
- .datad(\vga_ctrl_inst|cnt_h [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0008;
-defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N11
-dffeas \vga_ctrl_inst|cnt_h[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N28
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~1_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [1])))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(\vga_ctrl_inst|cnt_h [0]),
- .datad(\vga_ctrl_inst|cnt_h [1]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Equal0~2_combout & (\vga_ctrl_inst|Equal0~1_combout & !\vga_ctrl_inst|cnt_h [7])))
-
- .dataa(\vga_ctrl_inst|cnt_h [4]),
- .datab(\vga_ctrl_inst|Equal0~2_combout ),
- .datac(\vga_ctrl_inst|Equal0~1_combout ),
- .datad(\vga_ctrl_inst|cnt_h [7]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'h0080;
-defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & !\vga_ctrl_inst|Equal0~3_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add0~16_combout ),
- .datac(\vga_ctrl_inst|Equal0~3_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h0C0C;
-defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N3
-dffeas \vga_ctrl_inst|cnt_h[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N4
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan2~0_combout = (!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|cnt_h [9])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan2~0 .lut_mask = 16'h0033;
-defparam \vga_ctrl_inst|LessThan2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # (((\vga_ctrl_inst|cnt_h [6] & \vga_ctrl_inst|cnt_h [5])) # (!\vga_ctrl_inst|LessThan2~0_combout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(\vga_ctrl_inst|LessThan2~0_combout ),
- .datad(\vga_ctrl_inst|cnt_h [5]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hEFAF;
-defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N28
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~9 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[0]~9_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~0_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [0]))))
-
- .dataa(\vga_ctrl_inst|Add1~0_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [0]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[0]~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0]~9 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[0]~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N29
-dffeas \vga_ctrl_inst|cnt_v[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[0]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N4
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~8 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[2]~8_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~4_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [2]))))
-
- .dataa(\vga_ctrl_inst|Add1~4_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[2]~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2]~8 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[2]~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N5
-dffeas \vga_ctrl_inst|cnt_v[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[2]~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~6 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[4]~6_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~8_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [4]))))
-
- .dataa(\vga_ctrl_inst|Add1~8_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [4]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[4]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4]~6 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[4]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N1
-dffeas \vga_ctrl_inst|cnt_v[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[4]~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
-// Equation(s):
-// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|cnt_v [9] & (\vga_ctrl_inst|cnt_v [3] & (\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4])))
-
- .dataa(\vga_ctrl_inst|cnt_v [9]),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0080;
-defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[1]~0_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~2_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [1]))))
-
- .dataa(\vga_ctrl_inst|Add1~2_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[1]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1]~0 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[1]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N17
-dffeas \vga_ctrl_inst|cnt_v[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[1]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
-// Equation(s):
-// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [0] & (\vga_ctrl_inst|always1~1_combout & !\vga_ctrl_inst|cnt_v [1])))
-
- .dataa(\vga_ctrl_inst|always1~0_combout ),
- .datab(\vga_ctrl_inst|cnt_v [0]),
- .datac(\vga_ctrl_inst|always1~1_combout ),
- .datad(\vga_ctrl_inst|cnt_v [1]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0020;
-defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~7 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[3]~7_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~6_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [3]))))
-
- .dataa(\vga_ctrl_inst|Add1~6_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [3]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[3]~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3]~7 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[3]~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N3
-dffeas \vga_ctrl_inst|cnt_v[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[3]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0003;
-defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[5]~2_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~10_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [5]))))
-
- .dataa(\vga_ctrl_inst|Add1~10_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [5]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[5]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5]~2 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[5]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N19
-dffeas \vga_ctrl_inst|cnt_v[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[5]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
-// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [7]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~13 ),
- .combout(\vga_ctrl_inst|Add1~14_combout ),
- .cout(\vga_ctrl_inst|Add1~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~4 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[7]~4_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~14_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [7]))))
-
- .dataa(\vga_ctrl_inst|always1~2_combout ),
- .datab(\vga_ctrl_inst|Add1~14_combout ),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[7]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7]~4 .lut_mask = 16'h44F0;
-defparam \vga_ctrl_inst|cnt_v[7]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y24_N3
-dffeas \vga_ctrl_inst|cnt_v[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[7]~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N28
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~18_combout = \vga_ctrl_inst|Add1~17 $ (\vga_ctrl_inst|cnt_v [9])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_v [9]),
- .cin(\vga_ctrl_inst|Add1~17 ),
- .combout(\vga_ctrl_inst|Add1~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[9]~1_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~18_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [9]))))
-
- .dataa(\vga_ctrl_inst|always1~2_combout ),
- .datab(\vga_ctrl_inst|Add1~18_combout ),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[9]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9]~1 .lut_mask = 16'h44F0;
-defparam \vga_ctrl_inst|cnt_v[9]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y24_N1
-dffeas \vga_ctrl_inst|cnt_v[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[9]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N4
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~5 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[6]~5_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~12_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [6]))))
-
- .dataa(\vga_ctrl_inst|Add1~12_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [6]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[6]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6]~5 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[6]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y24_N5
-dffeas \vga_ctrl_inst|cnt_v[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[6]~5_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
-// Equation(s):
-// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
-
- .dataa(\vga_ctrl_inst|cnt_v [8]),
- .datab(\vga_ctrl_inst|cnt_v [5]),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|cnt_v [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan1~0_combout = ((\vga_ctrl_inst|cnt_v [1]) # ((\vga_ctrl_inst|cnt_v [9]) # (!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|LessThan6~0_combout )
-
- .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
- .datab(\vga_ctrl_inst|cnt_v [1]),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|always1~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'hFDFF;
-defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~1 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan6~1_combout = (!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [0]),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_v [1]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan6~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan6~1 .lut_mask = 16'h33FF;
-defparam \vga_ctrl_inst|LessThan6~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N30
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~1_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
-
- .dataa(\vga_ctrl_inst|cnt_v [8]),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|cnt_v [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N24
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~2_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|LessThan6~1_combout & (\vga_ctrl_inst|pix_data_req~1_combout )) # (!\vga_ctrl_inst|LessThan6~1_combout & ((\vga_ctrl_inst|always1~0_combout ))))) #
-// (!\vga_ctrl_inst|LessThan6~0_combout & (((\vga_ctrl_inst|always1~0_combout ))))
-
- .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
- .datab(\vga_ctrl_inst|LessThan6~1_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datad(\vga_ctrl_inst|always1~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'hF780;
-defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N28
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~1 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan2~1_combout = (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [5]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(\vga_ctrl_inst|cnt_h [4]),
- .datad(\vga_ctrl_inst|cnt_h [5]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan2~1 .lut_mask = 16'h0003;
-defparam \vga_ctrl_inst|LessThan2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|rgb_valid~0 (
-// Equation(s):
-// \vga_ctrl_inst|rgb_valid~0_combout = (\vga_ctrl_inst|Equal0~0_combout & (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|LessThan2~0_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout & (((\vga_ctrl_inst|cnt_h [7] &
-// !\vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|LessThan2~0_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~0_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|LessThan2~0_combout ),
- .datad(\vga_ctrl_inst|LessThan2~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb_valid~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb_valid~0 .lut_mask = 16'h0745;
-defparam \vga_ctrl_inst|rgb_valid~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~1_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
-defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~1_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~3_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
-defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~3_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~5_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
-defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~5_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~7_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005;
-defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~7_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~9_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00AF;
-defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
-// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~9_cout ),
- .combout(\vga_ctrl_inst|Add2~10_combout ),
- .cout(\vga_ctrl_inst|Add2~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
-defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
-// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~11 ),
- .combout(\vga_ctrl_inst|Add2~12_combout ),
- .cout(\vga_ctrl_inst|Add2~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N24
-cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hCC00;
-defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
-// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~13 ),
- .combout(\vga_ctrl_inst|Add2~14_combout ),
- .cout(\vga_ctrl_inst|Add2~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505;
-defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~16_combout = \vga_ctrl_inst|cnt_h [9] $ (\vga_ctrl_inst|Add2~15 )
-
- .dataa(\vga_ctrl_inst|cnt_h [9]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\vga_ctrl_inst|Add2~15 ),
- .combout(\vga_ctrl_inst|Add2~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5A5A;
-defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N2
-cycloneive_lcell_comb \vga_pic_inst|LessThan6~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan6~0_combout = ((\vga_pic_inst|LessThan14~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_ctrl_inst|Add2~14_combout ))) # (!\vga_ctrl_inst|pix_data_req~4_combout )
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_pic_inst|LessThan14~0_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan6~0 .lut_mask = 16'hFFFD;
-defparam \vga_pic_inst|LessThan6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N26
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|always1~0_combout & \vga_ctrl_inst|cnt_v [9])
-
- .dataa(\vga_ctrl_inst|always1~0_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h5050;
-defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N4
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan4~0_combout = (\vga_ctrl_inst|LessThan2~0_combout & (((!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|cnt_h [7])))
-
- .dataa(\vga_ctrl_inst|Equal0~1_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|LessThan2~0_combout ),
- .datad(\vga_ctrl_inst|LessThan2~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan4~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h7030;
-defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~3_combout = ((!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout )
-
- .dataa(\vga_ctrl_inst|Equal0~0_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|Equal0~1_combout ),
- .datad(\vga_ctrl_inst|LessThan2~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'h5755;
-defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (!\vga_ctrl_inst|LessThan4~0_combout & \vga_ctrl_inst|pix_data_req~3_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|LessThan4~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'h0100;
-defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~4 (
-// Equation(s):
-// \vga_pic_inst|pix_data~4_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~4 .lut_mask = 16'h00CC;
-defparam \vga_pic_inst|pix_data~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~9 (
-// Equation(s):
-// \vga_pic_inst|pix_data~9_combout = (\vga_pic_inst|pix_data~8_combout & ((\vga_pic_inst|LessThan6~0_combout ) # ((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data~8_combout &
-// (((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~8_combout ),
- .datab(\vga_pic_inst|LessThan6~0_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_pic_inst|pix_data~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~9 .lut_mask = 16'h8F88;
-defparam \vga_pic_inst|pix_data~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N8
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~0_combout = (\vga_ctrl_inst|Add2~12_combout ) # ((\vga_ctrl_inst|Add2~10_combout ) # ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~0 .lut_mask = 16'hFEFF;
-defparam \vga_pic_inst|LessThan17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N14
-cycloneive_lcell_comb \vga_pic_inst|pix_data~6 (
-// Equation(s):
-// \vga_pic_inst|pix_data~6_combout = ((\vga_pic_inst|LessThan17~0_combout & ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout )
-
- .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
- .datab(\vga_pic_inst|pix_data~4_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_pic_inst|LessThan17~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~6 .lut_mask = 16'hF755;
-defparam \vga_pic_inst|pix_data~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N22
-cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~10 (
-// Equation(s):
-// \vga_pic_inst|pix_data[4]~10_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[4]~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4]~10 .lut_mask = 16'h0FFF;
-defparam \vga_pic_inst|pix_data[4]~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~11 (
-// Equation(s):
-// \vga_pic_inst|pix_data~11_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~10_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~11 .lut_mask = 16'h0080;
-defparam \vga_pic_inst|pix_data~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
-// Equation(s):
-// \vga_pic_inst|pix_data~12_combout = (\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data[4]~10_combout ) # (!\vga_pic_inst|pix_data~11_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|LessThan17~0_combout ))
-
- .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
- .datab(\vga_pic_inst|LessThan17~0_combout ),
- .datac(\vga_pic_inst|pix_data[4]~10_combout ),
- .datad(\vga_pic_inst|pix_data~11_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'hE4EE;
-defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N16
-cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
-// Equation(s):
-// \vga_pic_inst|pix_data~13_combout = ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout ))) # (!\vga_pic_inst|pix_data~12_combout )
-
- .dataa(\vga_pic_inst|pix_data[4]~7_combout ),
- .datab(\vga_pic_inst|pix_data~9_combout ),
- .datac(\vga_pic_inst|pix_data~6_combout ),
- .datad(\vga_pic_inst|pix_data~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'h80FF;
-defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N17
-dffeas \vga_pic_inst|pix_data[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N0
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[0]~0 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[0]~0_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_ctrl_inst|rgb_valid~0_combout & (\vga_pic_inst|pix_data [0] & !\vga_ctrl_inst|pix_data_req~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datac(\vga_pic_inst|pix_data [0]),
- .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[0]~0 .lut_mask = 16'h0040;
-defparam \vga_ctrl_inst|rgb[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~7 (
-// Equation(s):
-// \vga_pic_inst|pix_data[4]~7_combout = (!\vga_ctrl_inst|Add2~16_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|Add2~12_combout ))))
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(\vga_ctrl_inst|Add2~14_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[4]~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4]~7 .lut_mask = 16'h0700;
-defparam \vga_pic_inst|pix_data[4]~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
-// Equation(s):
-// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout )))
-
- .dataa(\vga_pic_inst|pix_data~15_combout ),
- .datab(\vga_pic_inst|pix_data[4]~7_combout ),
- .datac(\vga_pic_inst|pix_data~9_combout ),
- .datad(\vga_pic_inst|pix_data~6_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'hEAAA;
-defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N19
-dffeas \vga_pic_inst|pix_data[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~16_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N26
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~1 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[1]~1_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [4])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[1]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[1]~1 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[1]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
-// Equation(s):
-// \vga_pic_inst|pix_data~25_combout = (\vga_ctrl_inst|Add2~16_combout & (((!\vga_pic_inst|LessThan17~0_combout )))) # (!\vga_ctrl_inst|Add2~16_combout & ((\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data~17_combout )) #
-// (!\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_pic_inst|LessThan17~0_combout )))))
-
- .dataa(\vga_pic_inst|pix_data~17_combout ),
- .datab(\vga_ctrl_inst|Add2~16_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_pic_inst|LessThan17~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~25_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h20EF;
-defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y23_N13
-dffeas \vga_pic_inst|pix_data[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~25_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N30
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[5]~2 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[5]~2_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [8])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[5]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[5]~2 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[5]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N28
-cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
-// Equation(s):
-// \vga_pic_inst|pix_data~18_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~10_combout )) # (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout
-// ))))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h4060;
-defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~14 (
-// Equation(s):
-// \vga_pic_inst|pix_data~14_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add2~14_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~14 .lut_mask = 16'h0030;
-defparam \vga_pic_inst|pix_data~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
-// Equation(s):
-// \vga_pic_inst|pix_data~26_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|pix_data~14_combout ))) # (!\vga_ctrl_inst|Add2~16_combout & (\vga_pic_inst|pix_data~18_combout )))) #
-// (!\vga_ctrl_inst|pix_data_req~4_combout & (((\vga_pic_inst|pix_data~14_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_pic_inst|pix_data~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|pix_data~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~26_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hFD08;
-defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
-// Equation(s):
-// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|pix_data~26_combout & \vga_pic_inst|pix_data~6_combout )
-
- .dataa(gnd),
- .datab(\vga_pic_inst|pix_data~26_combout ),
- .datac(gnd),
- .datad(\vga_pic_inst|pix_data~6_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~19_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCC00;
-defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N1
-dffeas \vga_pic_inst|pix_data[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~19_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[7]~3_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [9])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N6
-cycloneive_lcell_comb \vga_pic_inst|LessThan2~2 (
-// Equation(s):
-// \vga_pic_inst|LessThan2~2_combout = (\vga_pic_inst|LessThan17~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout ))
-
- .dataa(\vga_pic_inst|LessThan17~0_combout ),
- .datab(\vga_ctrl_inst|Add2~16_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan2~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan2~2 .lut_mask = 16'hEEFF;
-defparam \vga_pic_inst|LessThan2~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
-// Equation(s):
-// \vga_pic_inst|pix_data~20_combout = (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|pix_data_req~4_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~16_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~20_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h0500;
-defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
-// Equation(s):
-// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|LessThan2~2_combout & ((\vga_pic_inst|pix_data~26_combout ) # ((\vga_pic_inst|pix_data~4_combout & \vga_pic_inst|pix_data~20_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~4_combout ),
- .datab(\vga_pic_inst|pix_data~26_combout ),
- .datac(\vga_pic_inst|LessThan2~2_combout ),
- .datad(\vga_pic_inst|pix_data~20_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~21_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'hE0C0;
-defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N27
-dffeas \vga_pic_inst|pix_data[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~21_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N2
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~4 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[10]~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [10])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [10]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[10]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[10]~4 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[10]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N20
-cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
-// Equation(s):
-// \vga_pic_inst|pix_data~22_combout = ((\vga_pic_inst|pix_data[4]~5_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))) # (!\vga_pic_inst|LessThan6~0_combout )
-
- .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
- .datab(\vga_pic_inst|LessThan6~0_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_pic_inst|pix_data~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h3B33;
-defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N28
-cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
-// Equation(s):
-// \vga_pic_inst|pix_data~23_combout = ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout )))) # (!\vga_pic_inst|pix_data~12_combout )
-
- .dataa(\vga_pic_inst|LessThan2~2_combout ),
- .datab(\vga_pic_inst|pix_data~12_combout ),
- .datac(\vga_pic_inst|pix_data~22_combout ),
- .datad(\vga_pic_inst|pix_data[4]~7_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~23_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'hF733;
-defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N29
-dffeas \vga_pic_inst|pix_data[13] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~23_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [13]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[13] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[11]~5 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[11]~5_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [13] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_pic_inst|pix_data [13]),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[11]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[11]~5 .lut_mask = 16'h0040;
-defparam \vga_ctrl_inst|rgb[11]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data~24 (
-// Equation(s):
-// \vga_pic_inst|pix_data~24_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~15_combout ),
- .datab(\vga_pic_inst|pix_data[4]~7_combout ),
- .datac(\vga_pic_inst|pix_data~22_combout ),
- .datad(\vga_pic_inst|LessThan2~2_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~24_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~24 .lut_mask = 16'hEAEE;
-defparam \vga_pic_inst|pix_data~24 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N31
-dffeas \vga_pic_inst|pix_data[15] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~24_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [15]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[15] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~6 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[12]~6_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [15] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_pic_inst|pix_data [15]),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[12]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[12]~6 .lut_mask = 16'h0040;
-defparam \vga_ctrl_inst|rgb[12]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-endmodule
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus II 32-bit"
+// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
+
+// DATE "04/29/2025 20:26:32"
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This Verilog file should be used for ModelSim (Verilog) only
+//
+
+`timescale 1 ps/ 1 ps
+
+module vga_colorbar (
+ sys_clk,
+ sys_rst_n,
+ hsync,
+ vsync,
+ rgb);
+input sys_clk;
+input sys_rst_n;
+output hsync;
+output vsync;
+output [15:0] rgb;
+
+// Design Ports Information
+// hsync => Location: PIN_AA18, I/O Standard: 2.5 V, Current Strength: Default
+// vsync => Location: PIN_AB17, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[0] => Location: PIN_AB18, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[1] => Location: PIN_AA19, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[2] => Location: PIN_AB19, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[3] => Location: PIN_Y21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[4] => Location: PIN_W19, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[5] => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[6] => Location: PIN_U21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[7] => Location: PIN_U22, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[8] => Location: PIN_N20, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[9] => Location: PIN_N21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[10] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[11] => Location: PIN_M22, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[12] => Location: PIN_L21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[13] => Location: PIN_L22, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[14] => Location: PIN_K21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[15] => Location: PIN_J21, I/O Standard: 2.5 V, Current Strength: Default
+// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
+// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
+
+
+wire gnd;
+wire vcc;
+wire unknown;
+
+assign gnd = 1'b0;
+assign vcc = 1'b1;
+assign unknown = 1'bx;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+// synopsys translate_off
+initial $sdf_annotate("vga_colorbar_8_1200mv_85c_v_slow.sdo");
+// synopsys translate_on
+
+wire \vga_ctrl_inst|Add0~4_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
+wire \vga_ctrl_inst|Add1~0_combout ;
+wire \vga_ctrl_inst|Add1~2_combout ;
+wire \vga_ctrl_inst|Add1~4_combout ;
+wire \vga_ctrl_inst|Add1~6_combout ;
+wire \vga_ctrl_inst|Add1~8_combout ;
+wire \vga_ctrl_inst|Add1~10_combout ;
+wire \vga_ctrl_inst|Add1~12_combout ;
+wire \vga_ctrl_inst|Add1~16_combout ;
+wire \vga_ctrl_inst|Equal0~0_combout ;
+wire \vga_ctrl_inst|cnt_v[8]~3_combout ;
+wire \vga_pic_inst|pix_data[4]~5_combout ;
+wire \vga_pic_inst|pix_data~8_combout ;
+wire \vga_pic_inst|pix_data~15_combout ;
+wire \vga_pic_inst|pix_data~17_combout ;
+wire \sys_clk~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
+wire \vga_ctrl_inst|Add0~0_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
+wire \sys_rst_n~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
+wire \rst_n~0_combout ;
+wire \rst_n~0clkctrl_outclk ;
+wire \vga_ctrl_inst|Add0~1 ;
+wire \vga_ctrl_inst|Add0~3 ;
+wire \vga_ctrl_inst|Add0~5 ;
+wire \vga_ctrl_inst|Add0~6_combout ;
+wire \vga_ctrl_inst|Add0~7 ;
+wire \vga_ctrl_inst|Add0~8_combout ;
+wire \vga_ctrl_inst|Add0~9 ;
+wire \vga_ctrl_inst|Add0~11 ;
+wire \vga_ctrl_inst|Add0~12_combout ;
+wire \vga_ctrl_inst|Add0~13 ;
+wire \vga_ctrl_inst|Add0~14_combout ;
+wire \vga_ctrl_inst|Add0~15 ;
+wire \vga_ctrl_inst|Add0~16_combout ;
+wire \vga_ctrl_inst|Add0~17 ;
+wire \vga_ctrl_inst|Add0~18_combout ;
+wire \vga_ctrl_inst|cnt_h~1_combout ;
+wire \vga_ctrl_inst|Add0~10_combout ;
+wire \vga_ctrl_inst|cnt_h~0_combout ;
+wire \vga_ctrl_inst|Equal0~2_combout ;
+wire \vga_ctrl_inst|Add0~2_combout ;
+wire \vga_ctrl_inst|Equal0~1_combout ;
+wire \vga_ctrl_inst|Equal0~3_combout ;
+wire \vga_ctrl_inst|cnt_h~2_combout ;
+wire \vga_ctrl_inst|LessThan2~0_combout ;
+wire \vga_ctrl_inst|LessThan0~0_combout ;
+wire \vga_ctrl_inst|cnt_v[0]~9_combout ;
+wire \vga_ctrl_inst|cnt_v[2]~8_combout ;
+wire \vga_ctrl_inst|cnt_v[4]~6_combout ;
+wire \vga_ctrl_inst|always1~1_combout ;
+wire \vga_ctrl_inst|cnt_v[1]~0_combout ;
+wire \vga_ctrl_inst|always1~2_combout ;
+wire \vga_ctrl_inst|cnt_v[3]~7_combout ;
+wire \vga_ctrl_inst|LessThan6~0_combout ;
+wire \vga_ctrl_inst|cnt_v[5]~2_combout ;
+wire \vga_ctrl_inst|Add1~1 ;
+wire \vga_ctrl_inst|Add1~3 ;
+wire \vga_ctrl_inst|Add1~5 ;
+wire \vga_ctrl_inst|Add1~7 ;
+wire \vga_ctrl_inst|Add1~9 ;
+wire \vga_ctrl_inst|Add1~11 ;
+wire \vga_ctrl_inst|Add1~13 ;
+wire \vga_ctrl_inst|Add1~14_combout ;
+wire \vga_ctrl_inst|cnt_v[7]~4_combout ;
+wire \vga_ctrl_inst|Add1~15 ;
+wire \vga_ctrl_inst|Add1~17 ;
+wire \vga_ctrl_inst|Add1~18_combout ;
+wire \vga_ctrl_inst|cnt_v[9]~1_combout ;
+wire \vga_ctrl_inst|cnt_v[6]~5_combout ;
+wire \vga_ctrl_inst|always1~0_combout ;
+wire \vga_ctrl_inst|LessThan1~0_combout ;
+wire \vga_ctrl_inst|LessThan6~1_combout ;
+wire \vga_ctrl_inst|pix_data_req~1_combout ;
+wire \vga_ctrl_inst|pix_data_req~2_combout ;
+wire \vga_ctrl_inst|LessThan2~1_combout ;
+wire \vga_ctrl_inst|rgb_valid~0_combout ;
+wire \vga_ctrl_inst|Add2~1_cout ;
+wire \vga_ctrl_inst|Add2~3_cout ;
+wire \vga_ctrl_inst|Add2~5_cout ;
+wire \vga_ctrl_inst|Add2~7_cout ;
+wire \vga_ctrl_inst|Add2~9_cout ;
+wire \vga_ctrl_inst|Add2~11 ;
+wire \vga_ctrl_inst|Add2~12_combout ;
+wire \vga_ctrl_inst|Add2~10_combout ;
+wire \vga_pic_inst|LessThan14~0_combout ;
+wire \vga_ctrl_inst|Add2~13 ;
+wire \vga_ctrl_inst|Add2~15 ;
+wire \vga_ctrl_inst|Add2~16_combout ;
+wire \vga_ctrl_inst|Add2~14_combout ;
+wire \vga_pic_inst|LessThan6~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~0_combout ;
+wire \vga_ctrl_inst|LessThan4~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~3_combout ;
+wire \vga_ctrl_inst|pix_data_req~4_combout ;
+wire \vga_pic_inst|pix_data~4_combout ;
+wire \vga_pic_inst|pix_data~9_combout ;
+wire \vga_pic_inst|LessThan17~0_combout ;
+wire \vga_pic_inst|pix_data~6_combout ;
+wire \vga_pic_inst|pix_data[4]~10_combout ;
+wire \vga_pic_inst|pix_data~11_combout ;
+wire \vga_pic_inst|pix_data~12_combout ;
+wire \vga_pic_inst|pix_data~13_combout ;
+wire \vga_ctrl_inst|rgb[0]~0_combout ;
+wire \vga_pic_inst|pix_data[4]~7_combout ;
+wire \vga_pic_inst|pix_data~16_combout ;
+wire \vga_ctrl_inst|rgb[1]~1_combout ;
+wire \vga_pic_inst|pix_data~25_combout ;
+wire \vga_ctrl_inst|rgb[5]~2_combout ;
+wire \vga_pic_inst|pix_data~18_combout ;
+wire \vga_pic_inst|pix_data~14_combout ;
+wire \vga_pic_inst|pix_data~26_combout ;
+wire \vga_pic_inst|pix_data~19_combout ;
+wire \vga_ctrl_inst|rgb[7]~3_combout ;
+wire \vga_pic_inst|LessThan2~2_combout ;
+wire \vga_pic_inst|pix_data~20_combout ;
+wire \vga_pic_inst|pix_data~21_combout ;
+wire \vga_ctrl_inst|rgb[10]~4_combout ;
+wire \vga_pic_inst|pix_data~22_combout ;
+wire \vga_pic_inst|pix_data~23_combout ;
+wire \vga_ctrl_inst|rgb[11]~5_combout ;
+wire \vga_pic_inst|pix_data~24_combout ;
+wire \vga_ctrl_inst|rgb[12]~6_combout ;
+wire [9:0] \vga_ctrl_inst|cnt_h ;
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
+wire [9:0] \vga_ctrl_inst|cnt_v ;
+wire [15:0] \vga_pic_inst|pix_data ;
+
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
+
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
+
+// Location: LCCOMB_X35_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
+// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~3 ),
+ .combout(\vga_ctrl_inst|Add0~4_combout ),
+ .cout(\vga_ctrl_inst|Add0~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: PLL_2
+cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
+ .areset(!\sys_rst_n~input_o ),
+ .pfdena(vcc),
+ .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .phaseupdown(gnd),
+ .phasestep(gnd),
+ .scandata(gnd),
+ .scanclk(gnd),
+ .scanclkena(vcc),
+ .configupdate(gnd),
+ .clkswitch(gnd),
+ .inclk({gnd,\sys_clk~input_o }),
+ .phasecounterselect(3'b000),
+ .phasedone(),
+ .scandataout(),
+ .scandone(),
+ .activeclock(),
+ .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .vcooverrange(),
+ .vcounderrange(),
+ .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
+ .clkbad());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 6891;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 208;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
+// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
+
+ .dataa(\vga_ctrl_inst|cnt_v [0]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add1~0_combout ),
+ .cout(\vga_ctrl_inst|Add1~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h55AA;
+defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
+// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~1 ),
+ .combout(\vga_ctrl_inst|Add1~2_combout ),
+ .cout(\vga_ctrl_inst|Add1~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
+// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~3 ),
+ .combout(\vga_ctrl_inst|Add1~4_combout ),
+ .cout(\vga_ctrl_inst|Add1~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
+// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~5 ),
+ .combout(\vga_ctrl_inst|Add1~6_combout ),
+ .cout(\vga_ctrl_inst|Add1~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
+// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~7 ),
+ .combout(\vga_ctrl_inst|Add1~8_combout ),
+ .cout(\vga_ctrl_inst|Add1~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
+// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [5]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~9 ),
+ .combout(\vga_ctrl_inst|Add1~10_combout ),
+ .cout(\vga_ctrl_inst|Add1~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
+// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [6]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~11 ),
+ .combout(\vga_ctrl_inst|Add1~12_combout ),
+ .cout(\vga_ctrl_inst|Add1~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N26
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
+// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~15 ),
+ .combout(\vga_ctrl_inst|Add1~16_combout ),
+ .cout(\vga_ctrl_inst|Add1~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N13
+dffeas \vga_ctrl_inst|cnt_v[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[8]~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [8] & \vga_ctrl_inst|cnt_h [9])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'hCC00;
+defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N13
+dffeas \vga_ctrl_inst|cnt_h[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~3 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[8]~3_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~16_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [8]))))
+
+ .dataa(\vga_ctrl_inst|Add1~16_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [8]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[8]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8]~3 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[8]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~5 (
+// Equation(s):
+// \vga_pic_inst|pix_data[4]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~16_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[4]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4]~5 .lut_mask = 16'h00CC;
+defparam \vga_pic_inst|pix_data[4]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~8 (
+// Equation(s):
+// \vga_pic_inst|pix_data~8_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )) # (!\vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~10_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~8 .lut_mask = 16'hFBFF;
+defparam \vga_pic_inst|pix_data~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data~15 (
+// Equation(s):
+// \vga_pic_inst|pix_data~15_combout = (\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|pix_data~11_combout & ((!\vga_pic_inst|pix_data[4]~10_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data~14_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~11_combout ),
+ .datab(\vga_pic_inst|pix_data~14_combout ),
+ .datac(\vga_pic_inst|pix_data[4]~10_combout ),
+ .datad(\vga_pic_inst|pix_data[4]~5_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~15 .lut_mask = 16'h0ACC;
+defparam \vga_pic_inst|pix_data~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
+// Equation(s):
+// \vga_pic_inst|pix_data~17_combout = (\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout )) # (!\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~17_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0C3C;
+defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y15_N22
+cycloneive_io_ibuf \sys_clk~input (
+ .i(sys_clk),
+ .ibar(gnd),
+ .o(\sys_clk~input_o ));
+// synopsys translate_off
+defparam \sys_clk~input .bus_hold = "false";
+defparam \sys_clk~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: CLKCTRL_G8
+cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N30
+cycloneive_io_obuf \hsync~output (
+ .i(!\vga_ctrl_inst|LessThan0~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(hsync),
+ .obar());
+// synopsys translate_off
+defparam \hsync~output .bus_hold = "false";
+defparam \hsync~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y0_N2
+cycloneive_io_obuf \vsync~output (
+ .i(!\vga_ctrl_inst|LessThan1~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(vsync),
+ .obar());
+// synopsys translate_off
+defparam \vsync~output .bus_hold = "false";
+defparam \vsync~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y0_N2
+cycloneive_io_obuf \rgb[0]~output (
+ .i(\vga_ctrl_inst|rgb[0]~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[0]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[0]~output .bus_hold = "false";
+defparam \rgb[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N23
+cycloneive_io_obuf \rgb[1]~output (
+ .i(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[1]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[1]~output .bus_hold = "false";
+defparam \rgb[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N16
+cycloneive_io_obuf \rgb[2]~output (
+ .i(\vga_ctrl_inst|rgb[0]~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[2]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[2]~output .bus_hold = "false";
+defparam \rgb[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y4_N9
+cycloneive_io_obuf \rgb[3]~output (
+ .i(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[3]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[3]~output .bus_hold = "false";
+defparam \rgb[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y3_N9
+cycloneive_io_obuf \rgb[4]~output (
+ .i(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[4]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[4]~output .bus_hold = "false";
+defparam \rgb[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y3_N16
+cycloneive_io_obuf \rgb[5]~output (
+ .i(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[5]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[5]~output .bus_hold = "false";
+defparam \rgb[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y8_N2
+cycloneive_io_obuf \rgb[6]~output (
+ .i(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[6]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[6]~output .bus_hold = "false";
+defparam \rgb[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y8_N9
+cycloneive_io_obuf \rgb[7]~output (
+ .i(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[7]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[7]~output .bus_hold = "false";
+defparam \rgb[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y12_N16
+cycloneive_io_obuf \rgb[8]~output (
+ .i(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[8]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[8]~output .bus_hold = "false";
+defparam \rgb[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N9
+cycloneive_io_obuf \rgb[9]~output (
+ .i(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[9]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[9]~output .bus_hold = "false";
+defparam \rgb[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y14_N23
+cycloneive_io_obuf \rgb[10]~output (
+ .i(\vga_ctrl_inst|rgb[10]~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[10]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[10]~output .bus_hold = "false";
+defparam \rgb[10]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N2
+cycloneive_io_obuf \rgb[11]~output (
+ .i(\vga_ctrl_inst|rgb[11]~5_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[11]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[11]~output .bus_hold = "false";
+defparam \rgb[11]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y18_N16
+cycloneive_io_obuf \rgb[12]~output (
+ .i(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[12]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[12]~output .bus_hold = "false";
+defparam \rgb[12]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y18_N23
+cycloneive_io_obuf \rgb[13]~output (
+ .i(\vga_ctrl_inst|rgb[11]~5_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[13]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[13]~output .bus_hold = "false";
+defparam \rgb[13]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y19_N9
+cycloneive_io_obuf \rgb[14]~output (
+ .i(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[14]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[14]~output .bus_hold = "false";
+defparam \rgb[14]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y20_N23
+cycloneive_io_obuf \rgb[15]~output (
+ .i(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[15]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[15]~output .bus_hold = "false";
+defparam \rgb[15]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
+// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add0~0_combout ),
+ .cout(\vga_ctrl_inst|Add0~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
+defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y3_N0
+cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
+// Equation(s):
+// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y4_N1
+cycloneive_io_ibuf \sys_rst_n~input (
+ .i(sys_rst_n),
+ .ibar(gnd),
+ .o(\sys_rst_n~input_o ));
+// synopsys translate_off
+defparam \sys_rst_n~input .bus_hold = "false";
+defparam \sys_rst_n~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: FF_X35_Y3_N1
+dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .asdata(vcc),
+ .clrn(\sys_rst_n~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y3_N10
+cycloneive_lcell_comb \rst_n~0 (
+// Equation(s):
+// \rst_n~0_combout = ((!\sys_rst_n~input_o ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked )
+
+ .dataa(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .datac(\sys_rst_n~input_o ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\rst_n~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \rst_n~0 .lut_mask = 16'h7F7F;
+defparam \rst_n~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: CLKCTRL_G16
+cycloneive_clkctrl \rst_n~0clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\rst_n~0clkctrl_outclk ));
+// synopsys translate_off
+defparam \rst_n~0clkctrl .clock_type = "global clock";
+defparam \rst_n~0clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N9
+dffeas \vga_ctrl_inst|cnt_h[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
+// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [1]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~1 ),
+ .combout(\vga_ctrl_inst|Add0~2_combout ),
+ .cout(\vga_ctrl_inst|Add0~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
+// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~5 ),
+ .combout(\vga_ctrl_inst|Add0~6_combout ),
+ .cout(\vga_ctrl_inst|Add0~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N15
+dffeas \vga_ctrl_inst|cnt_h[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
+// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~7 ),
+ .combout(\vga_ctrl_inst|Add0~8_combout ),
+ .cout(\vga_ctrl_inst|Add0~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N17
+dffeas \vga_ctrl_inst|cnt_h[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
+// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~9 ),
+ .combout(\vga_ctrl_inst|Add0~10_combout ),
+ .cout(\vga_ctrl_inst|Add0~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
+// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~11 ),
+ .combout(\vga_ctrl_inst|Add0~12_combout ),
+ .cout(\vga_ctrl_inst|Add0~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N21
+dffeas \vga_ctrl_inst|cnt_h[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~12_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
+// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~13 ),
+ .combout(\vga_ctrl_inst|Add0~14_combout ),
+ .cout(\vga_ctrl_inst|Add0~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N23
+dffeas \vga_ctrl_inst|cnt_h[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~14_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
+// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~15 ),
+ .combout(\vga_ctrl_inst|Add0~16_combout ),
+ .cout(\vga_ctrl_inst|Add0~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~18_combout = \vga_ctrl_inst|Add0~17 $ (\vga_ctrl_inst|cnt_h [9])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(\vga_ctrl_inst|Add0~17 ),
+ .combout(\vga_ctrl_inst|Add0~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h0FF0;
+defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~1_combout = (!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|Add0~18_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|Add0~18_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h3030;
+defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N1
+dffeas \vga_ctrl_inst|cnt_h[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & !\vga_ctrl_inst|Equal0~3_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add0~10_combout ),
+ .datac(\vga_ctrl_inst|Equal0~3_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h0C0C;
+defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y23_N25
+dffeas \vga_ctrl_inst|cnt_h[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|cnt_h [5] & !\vga_ctrl_inst|cnt_h [6])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(\vga_ctrl_inst|cnt_h [5]),
+ .datad(\vga_ctrl_inst|cnt_h [6]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0008;
+defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N11
+dffeas \vga_ctrl_inst|cnt_h[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~1_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [1])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(\vga_ctrl_inst|cnt_h [3]),
+ .datac(\vga_ctrl_inst|cnt_h [0]),
+ .datad(\vga_ctrl_inst|cnt_h [1]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Equal0~2_combout & (\vga_ctrl_inst|Equal0~1_combout & !\vga_ctrl_inst|cnt_h [7])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [4]),
+ .datab(\vga_ctrl_inst|Equal0~2_combout ),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [7]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'h0080;
+defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & !\vga_ctrl_inst|Equal0~3_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add0~16_combout ),
+ .datac(\vga_ctrl_inst|Equal0~3_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h0C0C;
+defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N3
+dffeas \vga_ctrl_inst|cnt_h[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan2~0_combout = (!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|cnt_h [9])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan2~0 .lut_mask = 16'h0033;
+defparam \vga_ctrl_inst|LessThan2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # (((\vga_ctrl_inst|cnt_h [6] & \vga_ctrl_inst|cnt_h [5])) # (!\vga_ctrl_inst|LessThan2~0_combout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(\vga_ctrl_inst|LessThan2~0_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [5]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hEFAF;
+defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~9 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[0]~9_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~0_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [0]))))
+
+ .dataa(\vga_ctrl_inst|Add1~0_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [0]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[0]~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0]~9 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[0]~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N29
+dffeas \vga_ctrl_inst|cnt_v[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[0]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~8 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[2]~8_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~4_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [2]))))
+
+ .dataa(\vga_ctrl_inst|Add1~4_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[2]~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2]~8 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[2]~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N5
+dffeas \vga_ctrl_inst|cnt_v[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[2]~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~6 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[4]~6_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~8_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [4]))))
+
+ .dataa(\vga_ctrl_inst|Add1~8_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [4]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[4]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4]~6 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[4]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N1
+dffeas \vga_ctrl_inst|cnt_v[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[4]~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
+// Equation(s):
+// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|cnt_v [9] & (\vga_ctrl_inst|cnt_v [3] & (\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [9]),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|cnt_v [4]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0080;
+defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[1]~0_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~2_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [1]))))
+
+ .dataa(\vga_ctrl_inst|Add1~2_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [1]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[1]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1]~0 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[1]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N17
+dffeas \vga_ctrl_inst|cnt_v[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[1]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
+// Equation(s):
+// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [0] & (\vga_ctrl_inst|always1~1_combout & !\vga_ctrl_inst|cnt_v [1])))
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_v [0]),
+ .datac(\vga_ctrl_inst|always1~1_combout ),
+ .datad(\vga_ctrl_inst|cnt_v [1]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0020;
+defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~7 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[3]~7_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~6_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [3]))))
+
+ .dataa(\vga_ctrl_inst|Add1~6_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[3]~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3]~7 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[3]~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N3
+dffeas \vga_ctrl_inst|cnt_v[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[3]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|cnt_v [4]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[5]~2_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~10_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [5]))))
+
+ .dataa(\vga_ctrl_inst|Add1~10_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [5]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[5]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5]~2 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[5]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N19
+dffeas \vga_ctrl_inst|cnt_v[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[5]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
+// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~13 ),
+ .combout(\vga_ctrl_inst|Add1~14_combout ),
+ .cout(\vga_ctrl_inst|Add1~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~4 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[7]~4_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~14_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [7]))))
+
+ .dataa(\vga_ctrl_inst|always1~2_combout ),
+ .datab(\vga_ctrl_inst|Add1~14_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[7]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7]~4 .lut_mask = 16'h44F0;
+defparam \vga_ctrl_inst|cnt_v[7]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y24_N3
+dffeas \vga_ctrl_inst|cnt_v[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[7]~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~18_combout = \vga_ctrl_inst|Add1~17 $ (\vga_ctrl_inst|cnt_v [9])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_v [9]),
+ .cin(\vga_ctrl_inst|Add1~17 ),
+ .combout(\vga_ctrl_inst|Add1~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h0FF0;
+defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[9]~1_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~18_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [9]))))
+
+ .dataa(\vga_ctrl_inst|always1~2_combout ),
+ .datab(\vga_ctrl_inst|Add1~18_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[9]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9]~1 .lut_mask = 16'h44F0;
+defparam \vga_ctrl_inst|cnt_v[9]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y24_N1
+dffeas \vga_ctrl_inst|cnt_v[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[9]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~5 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[6]~5_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~12_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [6]))))
+
+ .dataa(\vga_ctrl_inst|Add1~12_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [6]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[6]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6]~5 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[6]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y24_N5
+dffeas \vga_ctrl_inst|cnt_v[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[6]~5_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
+// Equation(s):
+// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(\vga_ctrl_inst|cnt_v [5]),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|cnt_v [6]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan1~0_combout = ((\vga_ctrl_inst|cnt_v [1]) # ((\vga_ctrl_inst|cnt_v [9]) # (!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|LessThan6~0_combout )
+
+ .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'hFDFF;
+defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~1 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan6~1_combout = (!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [0]),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_v [1]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan6~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan6~1 .lut_mask = 16'h33FF;
+defparam \vga_ctrl_inst|LessThan6~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N30
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~1_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(\vga_ctrl_inst|cnt_v [9]),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|cnt_v [6]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~2_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|LessThan6~1_combout & (\vga_ctrl_inst|pix_data_req~1_combout )) # (!\vga_ctrl_inst|LessThan6~1_combout & ((\vga_ctrl_inst|always1~0_combout ))))) #
+// (!\vga_ctrl_inst|LessThan6~0_combout & (((\vga_ctrl_inst|always1~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
+ .datab(\vga_ctrl_inst|LessThan6~1_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'hF780;
+defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~1 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan2~1_combout = (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(\vga_ctrl_inst|cnt_h [4]),
+ .datad(\vga_ctrl_inst|cnt_h [5]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan2~1 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|LessThan2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|rgb_valid~0 (
+// Equation(s):
+// \vga_ctrl_inst|rgb_valid~0_combout = (\vga_ctrl_inst|Equal0~0_combout & (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|LessThan2~0_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout & (((\vga_ctrl_inst|cnt_h [7] &
+// !\vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|LessThan2~0_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|LessThan2~0_combout ),
+ .datad(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb_valid~0 .lut_mask = 16'h0745;
+defparam \vga_ctrl_inst|rgb_valid~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [1]),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~1_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
+defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~1_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~3_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
+defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~3_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~5_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
+defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~5_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~7_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005;
+defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~7_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~9_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00AF;
+defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
+// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~9_cout ),
+ .combout(\vga_ctrl_inst|Add2~10_combout ),
+ .cout(\vga_ctrl_inst|Add2~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
+defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
+// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~11 ),
+ .combout(\vga_ctrl_inst|Add2~12_combout ),
+ .cout(\vga_ctrl_inst|Add2~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hCC00;
+defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
+// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~13 ),
+ .combout(\vga_ctrl_inst|Add2~14_combout ),
+ .cout(\vga_ctrl_inst|Add2~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505;
+defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~16_combout = \vga_ctrl_inst|cnt_h [9] $ (\vga_ctrl_inst|Add2~15 )
+
+ .dataa(\vga_ctrl_inst|cnt_h [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add2~15 ),
+ .combout(\vga_ctrl_inst|Add2~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5A5A;
+defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N2
+cycloneive_lcell_comb \vga_pic_inst|LessThan6~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan6~0_combout = ((\vga_pic_inst|LessThan14~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_ctrl_inst|Add2~14_combout ))) # (!\vga_ctrl_inst|pix_data_req~4_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datab(\vga_pic_inst|LessThan14~0_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_ctrl_inst|Add2~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan6~0 .lut_mask = 16'hFFFD;
+defparam \vga_pic_inst|LessThan6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|always1~0_combout & \vga_ctrl_inst|cnt_v [9])
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h5050;
+defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan4~0_combout = (\vga_ctrl_inst|LessThan2~0_combout & (((!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|cnt_h [7])))
+
+ .dataa(\vga_ctrl_inst|Equal0~1_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|LessThan2~0_combout ),
+ .datad(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan4~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h7030;
+defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~3_combout = ((!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout )
+
+ .dataa(\vga_ctrl_inst|Equal0~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'h5755;
+defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (!\vga_ctrl_inst|LessThan4~0_combout & \vga_ctrl_inst|pix_data_req~3_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|LessThan4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'h0100;
+defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N2
+cycloneive_lcell_comb \vga_pic_inst|pix_data~4 (
+// Equation(s):
+// \vga_pic_inst|pix_data~4_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~4 .lut_mask = 16'h00CC;
+defparam \vga_pic_inst|pix_data~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N4
+cycloneive_lcell_comb \vga_pic_inst|pix_data~9 (
+// Equation(s):
+// \vga_pic_inst|pix_data~9_combout = (\vga_pic_inst|pix_data~8_combout & ((\vga_pic_inst|LessThan6~0_combout ) # ((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data~8_combout &
+// (((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~8_combout ),
+ .datab(\vga_pic_inst|LessThan6~0_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_pic_inst|pix_data~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~9 .lut_mask = 16'h8F88;
+defparam \vga_pic_inst|pix_data~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N8
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~0_combout = (\vga_ctrl_inst|Add2~12_combout ) # ((\vga_ctrl_inst|Add2~10_combout ) # ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~10_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~0 .lut_mask = 16'hFEFF;
+defparam \vga_pic_inst|LessThan17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N14
+cycloneive_lcell_comb \vga_pic_inst|pix_data~6 (
+// Equation(s):
+// \vga_pic_inst|pix_data~6_combout = ((\vga_pic_inst|LessThan17~0_combout & ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout )
+
+ .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
+ .datab(\vga_pic_inst|pix_data~4_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_pic_inst|LessThan17~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~6 .lut_mask = 16'hF755;
+defparam \vga_pic_inst|pix_data~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N22
+cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~10 (
+// Equation(s):
+// \vga_pic_inst|pix_data[4]~10_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[4]~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4]~10 .lut_mask = 16'h0FFF;
+defparam \vga_pic_inst|pix_data[4]~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N4
+cycloneive_lcell_comb \vga_pic_inst|pix_data~11 (
+// Equation(s):
+// \vga_pic_inst|pix_data~11_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~10_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~14_combout ),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~11 .lut_mask = 16'h0080;
+defparam \vga_pic_inst|pix_data~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N24
+cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
+// Equation(s):
+// \vga_pic_inst|pix_data~12_combout = (\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data[4]~10_combout ) # (!\vga_pic_inst|pix_data~11_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|LessThan17~0_combout ))
+
+ .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
+ .datab(\vga_pic_inst|LessThan17~0_combout ),
+ .datac(\vga_pic_inst|pix_data[4]~10_combout ),
+ .datad(\vga_pic_inst|pix_data~11_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'hE4EE;
+defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
+// Equation(s):
+// \vga_pic_inst|pix_data~13_combout = ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout ))) # (!\vga_pic_inst|pix_data~12_combout )
+
+ .dataa(\vga_pic_inst|pix_data[4]~7_combout ),
+ .datab(\vga_pic_inst|pix_data~9_combout ),
+ .datac(\vga_pic_inst|pix_data~6_combout ),
+ .datad(\vga_pic_inst|pix_data~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'h80FF;
+defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N17
+dffeas \vga_pic_inst|pix_data[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[0]~0 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[0]~0_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_ctrl_inst|rgb_valid~0_combout & (\vga_pic_inst|pix_data [0] & !\vga_ctrl_inst|pix_data_req~0_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datac(\vga_pic_inst|pix_data [0]),
+ .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[0]~0 .lut_mask = 16'h0040;
+defparam \vga_ctrl_inst|rgb[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~7 (
+// Equation(s):
+// \vga_pic_inst|pix_data[4]~7_combout = (!\vga_ctrl_inst|Add2~16_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|Add2~12_combout ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[4]~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4]~7 .lut_mask = 16'h0700;
+defparam \vga_pic_inst|pix_data[4]~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N18
+cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
+// Equation(s):
+// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout )))
+
+ .dataa(\vga_pic_inst|pix_data~15_combout ),
+ .datab(\vga_pic_inst|pix_data[4]~7_combout ),
+ .datac(\vga_pic_inst|pix_data~9_combout ),
+ .datad(\vga_pic_inst|pix_data~6_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'hEAAA;
+defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N19
+dffeas \vga_pic_inst|pix_data[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~16_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~1 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[1]~1_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [4])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [4]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[1]~1 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[1]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
+// Equation(s):
+// \vga_pic_inst|pix_data~25_combout = (\vga_ctrl_inst|Add2~16_combout & (((!\vga_pic_inst|LessThan17~0_combout )))) # (!\vga_ctrl_inst|Add2~16_combout & ((\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data~17_combout )) #
+// (!\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_pic_inst|LessThan17~0_combout )))))
+
+ .dataa(\vga_pic_inst|pix_data~17_combout ),
+ .datab(\vga_ctrl_inst|Add2~16_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_pic_inst|LessThan17~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~25_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h20EF;
+defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y23_N13
+dffeas \vga_pic_inst|pix_data[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~25_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[5]~2 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[5]~2_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [8])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[5]~2 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[5]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
+// Equation(s):
+// \vga_pic_inst|pix_data~18_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~10_combout )) # (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout
+// ))))
+
+ .dataa(\vga_ctrl_inst|Add2~14_combout ),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h4060;
+defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~14 (
+// Equation(s):
+// \vga_pic_inst|pix_data~14_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~14 .lut_mask = 16'h0030;
+defparam \vga_pic_inst|pix_data~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N30
+cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
+// Equation(s):
+// \vga_pic_inst|pix_data~26_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|pix_data~14_combout ))) # (!\vga_ctrl_inst|Add2~16_combout & (\vga_pic_inst|pix_data~18_combout )))) #
+// (!\vga_ctrl_inst|pix_data_req~4_combout & (((\vga_pic_inst|pix_data~14_combout ))))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datab(\vga_pic_inst|pix_data~18_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_pic_inst|pix_data~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~26_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hFD08;
+defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y24_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
+// Equation(s):
+// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|pix_data~26_combout & \vga_pic_inst|pix_data~6_combout )
+
+ .dataa(gnd),
+ .datab(\vga_pic_inst|pix_data~26_combout ),
+ .datac(gnd),
+ .datad(\vga_pic_inst|pix_data~6_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~19_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCC00;
+defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y24_N1
+dffeas \vga_pic_inst|pix_data[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~19_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[7]~3_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [9])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N6
+cycloneive_lcell_comb \vga_pic_inst|LessThan2~2 (
+// Equation(s):
+// \vga_pic_inst|LessThan2~2_combout = (\vga_pic_inst|LessThan17~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout ))
+
+ .dataa(\vga_pic_inst|LessThan17~0_combout ),
+ .datab(\vga_ctrl_inst|Add2~16_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan2~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan2~2 .lut_mask = 16'hEEFF;
+defparam \vga_pic_inst|LessThan2~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y24_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
+// Equation(s):
+// \vga_pic_inst|pix_data~20_combout = (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|pix_data_req~4_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h0500;
+defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y24_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
+// Equation(s):
+// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|LessThan2~2_combout & ((\vga_pic_inst|pix_data~26_combout ) # ((\vga_pic_inst|pix_data~4_combout & \vga_pic_inst|pix_data~20_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~4_combout ),
+ .datab(\vga_pic_inst|pix_data~26_combout ),
+ .datac(\vga_pic_inst|LessThan2~2_combout ),
+ .datad(\vga_pic_inst|pix_data~20_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~21_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'hE0C0;
+defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y24_N27
+dffeas \vga_pic_inst|pix_data[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~21_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~4 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[10]~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [10])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [10]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[10]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[10]~4 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[10]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
+// Equation(s):
+// \vga_pic_inst|pix_data~22_combout = ((\vga_pic_inst|pix_data[4]~5_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))) # (!\vga_pic_inst|LessThan6~0_combout )
+
+ .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
+ .datab(\vga_pic_inst|LessThan6~0_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_pic_inst|pix_data~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h3B33;
+defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
+// Equation(s):
+// \vga_pic_inst|pix_data~23_combout = ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout )))) # (!\vga_pic_inst|pix_data~12_combout )
+
+ .dataa(\vga_pic_inst|LessThan2~2_combout ),
+ .datab(\vga_pic_inst|pix_data~12_combout ),
+ .datac(\vga_pic_inst|pix_data~22_combout ),
+ .datad(\vga_pic_inst|pix_data[4]~7_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~23_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'hF733;
+defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N29
+dffeas \vga_pic_inst|pix_data[13] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~23_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[11]~5 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[11]~5_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [13] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_pic_inst|pix_data [13]),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[11]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[11]~5 .lut_mask = 16'h0040;
+defparam \vga_ctrl_inst|rgb[11]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N30
+cycloneive_lcell_comb \vga_pic_inst|pix_data~24 (
+// Equation(s):
+// \vga_pic_inst|pix_data~24_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~15_combout ),
+ .datab(\vga_pic_inst|pix_data[4]~7_combout ),
+ .datac(\vga_pic_inst|pix_data~22_combout ),
+ .datad(\vga_pic_inst|LessThan2~2_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~24_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~24 .lut_mask = 16'hEAEE;
+defparam \vga_pic_inst|pix_data~24 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N31
+dffeas \vga_pic_inst|pix_data[15] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~24_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~6 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[12]~6_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [15] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_pic_inst|pix_data [15]),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[12]~6 .lut_mask = 16'h0040;
+defparam \vga_ctrl_inst|rgb[12]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+endmodule
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_v_slow.sdo b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_v_slow.sdo
index 3c460d1..723e9d9 100644
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_v_slow.sdo
+++ b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_8_1200mv_85c_v_slow.sdo
@@ -1,2108 +1,2108 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This file contains Slow Corner delays for the design using part EP4CE15F23C8,
-// with speed grade 8, core voltage 1.2V, and temperature 85 Celsius
-//
-
-//
-// This SDF file should be used for ModelSim (Verilog) only
-//
-
-(DELAYFILE
- (SDFVERSION "2.1")
- (DESIGN "vga_colorbar")
- (DATE "06/02/2023 04:42:20")
- (VENDOR "Altera")
- (PROGRAM "Quartus II 64-Bit")
- (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version")
- (DIVIDER .)
- (TIMESCALE 1 ps)
-
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (370:370:370) (460:460:460))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_pll")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
- (DELAY
- (ABSOLUTE
- (PORT areset (4503:4503:4503) (4503:4503:4503))
- (PORT inclk[0] (2340:2340:2340) (2340:2340:2340))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (960:960:960) (961:961:961))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (971:971:971) (950:950:950))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (973:973:973) (953:953:953))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (1006:1006:1006) (978:978:978))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (569:569:569) (599:599:599))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~10)
- (DELAY
- (ABSOLUTE
- (PORT datab (960:960:960) (939:939:939))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (558:558:558) (603:603:603))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (958:958:958) (962:962:962))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (397:397:397) (486:486:486))
- (PORT datad (343:343:343) (426:426:426))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (840:840:840) (788:788:788))
- (PORT datab (858:858:858) (836:836:836))
- (PORT datad (316:316:316) (356:356:356))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[4\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT datab (1230:1230:1230) (1146:1146:1146))
- (PORT datad (924:924:924) (874:874:874))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1160:1160:1160) (1082:1082:1082))
- (PORT datab (967:967:967) (899:899:899))
- (PORT datac (937:937:937) (910:910:910))
- (PORT datad (1184:1184:1184) (1098:1098:1098))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (412:412:412))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (841:841:841) (803:803:803))
- (PORT datab (509:509:509) (494:494:494))
- (PORT datac (245:245:245) (275:275:275))
- (PORT datad (262:262:262) (300:300:300))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~17)
- (DELAY
- (ABSOLUTE
- (PORT datab (337:337:337) (383:383:383))
- (PORT datac (842:842:842) (776:776:776))
- (PORT datad (291:291:291) (318:318:318))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_clk\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (806:806:806) (852:852:852))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (2339:2339:2339) (2308:2308:2308))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE hsync\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (2108:2108:2108) (2266:2266:2266))
- (IOPATH i o (3174:3174:3174) (3271:3271:3271))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE vsync\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1864:1864:1864) (2034:2034:2034))
- (IOPATH i o (3184:3184:3184) (3281:3281:3281))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[0\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (2928:2928:2928) (2696:2696:2696))
- (IOPATH i o (3271:3271:3271) (3174:3174:3174))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[1\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (2872:2872:2872) (2631:2631:2631))
- (IOPATH i o (3281:3281:3281) (3184:3184:3184))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[2\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (3183:3183:3183) (2900:2900:2900))
- (IOPATH i o (3281:3281:3281) (3184:3184:3184))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[3\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (3530:3530:3530) (3206:3206:3206))
- (IOPATH i o (3429:3429:3429) (3366:3366:3366))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[4\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (3524:3524:3524) (3201:3201:3201))
- (IOPATH i o (3409:3409:3409) (3346:3346:3346))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[5\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1540:1540:1540) (1460:1460:1460))
- (IOPATH i o (3409:3409:3409) (3346:3346:3346))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[6\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1581:1581:1581) (1475:1475:1475))
- (IOPATH i o (3409:3409:3409) (3346:3346:3346))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[7\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (2139:2139:2139) (1969:1969:1969))
- (IOPATH i o (3419:3419:3419) (3356:3356:3356))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[8\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1953:1953:1953) (1815:1815:1815))
- (IOPATH i o (3379:3379:3379) (3316:3316:3316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[9\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1947:1947:1947) (1781:1781:1781))
- (IOPATH i o (3399:3399:3399) (3336:3336:3336))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[10\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1885:1885:1885) (1698:1698:1698))
- (IOPATH i o (3389:3389:3389) (3326:3326:3326))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[11\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1851:1851:1851) (1680:1680:1680))
- (IOPATH i o (3389:3389:3389) (3326:3326:3326))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[12\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1486:1486:1486) (1329:1329:1329))
- (IOPATH i o (3399:3399:3399) (3336:3336:3336))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[13\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1506:1506:1506) (1334:1334:1334))
- (IOPATH i o (3389:3389:3389) (3326:3326:3326))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[14\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1091:1091:1091) (970:970:970))
- (IOPATH i o (3399:3399:3399) (3336:3336:3336))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[15\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1123:1123:1123) (999:999:999))
- (IOPATH i o (3389:3389:3389) (3326:3326:3326))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (366:366:366) (447:447:447))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_rst_n\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (766:766:766) (812:812:812))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
- (DELAY
- (ABSOLUTE
- (PORT clk (2921:2921:2921) (2960:2960:2960))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (4667:4667:4667) (4459:4459:4459))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE rst_n\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (2254:2254:2254) (2277:2277:2277))
- (PORT datab (332:332:332) (408:408:408))
- (PORT datac (3743:3743:3743) (3918:3918:3918))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE rst_n\~0clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (2220:2220:2220) (2115:2115:2115))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (370:370:370) (456:456:456))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (367:367:367) (450:450:450))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (359:359:359) (436:436:436))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (917:917:917) (889:889:889))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (369:369:369) (448:448:448))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (362:362:362) (446:446:446))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (402:402:402) (492:492:492))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~18)
- (DELAY
- (ABSOLUTE
- (PORT datad (350:350:350) (435:435:435))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (848:848:848) (777:777:777))
- (PORT datac (240:240:240) (266:266:266))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (789:789:789) (706:706:706))
- (PORT datac (265:265:265) (291:291:291))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1878:1878:1878) (1848:1848:1848))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (660:660:660) (668:668:668))
- (PORT datab (390:390:390) (477:477:477))
- (PORT datac (853:853:853) (839:839:839))
- (PORT datad (328:328:328) (405:405:405))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (371:371:371) (461:461:461))
- (PORT datab (368:368:368) (451:451:451))
- (PORT datac (327:327:327) (412:412:412))
- (PORT datad (329:329:329) (405:405:405))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (895:895:895) (876:876:876))
- (PORT datab (741:741:741) (680:680:680))
- (PORT datac (724:724:724) (663:663:663))
- (PORT datad (917:917:917) (899:899:899))
- (IOPATH dataa combout (432:432:432) (446:446:446))
- (IOPATH datab combout (437:437:437) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (279:279:279) (305:305:305))
- (PORT datac (806:806:806) (740:740:740))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan2\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (396:396:396) (485:485:485))
- (PORT datad (342:342:342) (425:425:425))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (858:858:858) (841:841:841))
- (PORT datab (933:933:933) (885:885:885))
- (PORT datac (767:767:767) (704:704:704))
- (PORT datad (328:328:328) (401:401:401))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (849:849:849) (802:802:802))
- (PORT datab (862:862:862) (841:841:841))
- (PORT datad (313:313:313) (352:352:352))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (875:875:875) (832:832:832))
- (PORT datab (857:857:857) (834:834:834))
- (PORT datad (317:317:317) (358:358:358))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1110:1110:1110) (1019:1019:1019))
- (PORT datab (856:856:856) (834:834:834))
- (PORT datad (318:318:318) (359:359:359))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1021:1021:1021) (997:997:997))
- (PORT datab (366:366:366) (449:449:449))
- (PORT datac (326:326:326) (408:408:408))
- (PORT datad (327:327:327) (401:401:401))
- (IOPATH dataa combout (432:432:432) (446:446:446))
- (IOPATH datab combout (437:437:437) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1194:1194:1194) (1079:1079:1079))
- (PORT datab (860:860:860) (838:838:838))
- (PORT datad (315:315:315) (355:355:355))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (307:307:307) (351:351:351))
- (PORT datab (367:367:367) (450:450:450))
- (PORT datac (450:450:450) (427:427:427))
- (PORT datad (337:337:337) (417:417:417))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (943:943:943) (877:877:877))
- (PORT datab (856:856:856) (834:834:834))
- (PORT datad (318:318:318) (358:358:358))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan6\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (367:367:367) (450:450:450))
- (PORT datac (327:327:327) (409:409:409))
- (PORT datad (329:329:329) (402:402:402))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (893:893:893) (829:829:829))
- (PORT datab (860:860:860) (839:839:839))
- (PORT datad (315:315:315) (354:354:354))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~14)
- (DELAY
- (ABSOLUTE
- (PORT datab (569:569:569) (597:597:597))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (880:880:880) (846:846:846))
- (PORT datab (279:279:279) (305:305:305))
- (PORT datad (1206:1206:1206) (1115:1115:1115))
- (IOPATH dataa combout (421:421:421) (428:428:428))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1878:1878:1878) (1848:1848:1848))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~18)
- (DELAY
- (ABSOLUTE
- (PORT datad (333:333:333) (411:411:411))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (880:880:880) (846:846:846))
- (PORT datab (280:280:280) (306:306:306))
- (PORT datad (1207:1207:1207) (1116:1116:1116))
- (IOPATH dataa combout (421:421:421) (428:428:428))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1878:1878:1878) (1848:1848:1848))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (314:314:314))
- (PORT datab (1265:1265:1265) (1163:1163:1163))
- (PORT datad (838:838:838) (794:794:794))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1878:1878:1878) (1848:1848:1848))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (360:360:360) (443:443:443))
- (PORT datab (359:359:359) (435:435:435))
- (PORT datac (872:872:872) (866:866:866))
- (PORT datad (893:893:893) (890:890:890))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (288:288:288) (326:326:326))
- (PORT datab (377:377:377) (459:459:459))
- (PORT datac (959:959:959) (948:948:948))
- (PORT datad (261:261:261) (296:296:296))
- (IOPATH dataa combout (392:392:392) (407:407:407))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan6\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (367:367:367) (449:449:449))
- (PORT datad (336:336:336) (416:416:416))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (957:957:957) (961:961:961))
- (PORT datab (371:371:371) (451:451:451))
- (PORT datac (528:528:528) (560:560:560))
- (PORT datad (517:517:517) (552:552:552))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (285:285:285) (323:323:323))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (698:698:698) (629:629:629))
- (PORT datad (265:265:265) (300:300:300))
- (IOPATH dataa combout (432:432:432) (446:446:446))
- (IOPATH datab combout (437:437:437) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan2\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (930:930:930) (883:883:883))
- (PORT datac (848:848:848) (826:826:826))
- (PORT datad (326:326:326) (400:400:400))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb_valid\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (735:735:735) (684:684:684))
- (PORT datab (974:974:974) (944:944:944))
- (PORT datac (765:765:765) (703:703:703))
- (PORT datad (254:254:254) (280:280:280))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (455:455:455) (424:424:424))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (618:618:618) (628:628:628))
- (PORT datab (837:837:837) (806:806:806))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab cout (565:565:565) (421:421:421))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (618:618:618) (628:628:628))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (570:570:570) (597:597:597))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (582:582:582) (607:607:607))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (853:853:853) (839:839:839))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~10)
- (DELAY
- (ABSOLUTE
- (PORT datab (620:620:620) (627:627:627))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (855:855:855) (837:837:837))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan14\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (337:337:337) (383:383:383))
- (PORT datad (291:291:291) (318:318:318))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (644:644:644) (650:650:650))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (619:619:619) (628:628:628))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (839:839:839) (801:801:801))
- (PORT datab (278:278:278) (303:303:303))
- (PORT datac (273:273:273) (303:303:303))
- (PORT datad (274:274:274) (299:299:299))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (306:306:306) (351:351:351))
- (PORT datac (955:955:955) (943:943:943))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan4\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (767:767:767) (710:710:710))
- (PORT datab (976:976:976) (946:946:946))
- (PORT datac (764:764:764) (701:701:701))
- (PORT datad (257:257:257) (283:283:283))
- (IOPATH dataa combout (420:420:420) (428:428:428))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (736:736:736) (684:684:684))
- (PORT datab (976:976:976) (946:946:946))
- (PORT datac (723:723:723) (662:662:662))
- (PORT datad (256:256:256) (281:281:281))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (835:835:835) (812:812:812))
- (PORT datab (918:918:918) (854:854:854))
- (PORT datac (237:237:237) (264:264:264))
- (PORT datad (238:238:238) (257:257:257))
- (IOPATH dataa combout (392:392:392) (407:407:407))
- (IOPATH datab combout (393:393:393) (412:412:412))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (1232:1232:1232) (1148:1148:1148))
- (PORT datad (847:847:847) (804:804:804))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (313:313:313))
- (PORT datab (888:888:888) (823:823:823))
- (PORT datac (934:934:934) (907:907:907))
- (PORT datad (279:279:279) (305:305:305))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (888:888:888) (855:855:855))
- (PORT datab (966:966:966) (898:898:898))
- (PORT datac (934:934:934) (907:907:907))
- (PORT datad (1188:1188:1188) (1104:1104:1104))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (407:407:407) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (304:304:304) (351:351:351))
- (PORT datab (320:320:320) (350:350:350))
- (PORT datac (935:935:935) (908:908:908))
- (PORT datad (487:487:487) (468:468:468))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[4\]\~10)
- (DELAY
- (ABSOLUTE
- (PORT datac (936:936:936) (910:910:910))
- (PORT datad (846:846:846) (803:803:803))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (903:903:903) (824:824:824))
- (PORT datab (338:338:338) (384:384:384))
- (PORT datac (795:795:795) (753:753:753))
- (PORT datad (291:291:291) (318:318:318))
- (IOPATH dataa combout (432:432:432) (446:446:446))
- (IOPATH datab combout (437:437:437) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (305:305:305) (353:353:353))
- (PORT datab (304:304:304) (329:329:329))
- (PORT datac (243:243:243) (274:274:274))
- (PORT datad (802:802:802) (754:754:754))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (573:573:573) (537:537:537))
- (PORT datab (285:285:285) (315:315:315))
- (PORT datac (288:288:288) (315:315:315))
- (PORT datad (245:245:245) (270:270:270))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1880:1880:1880) (1849:1849:1849))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (837:837:837) (815:815:815))
- (PORT datab (327:327:327) (381:381:381))
- (PORT datac (852:852:852) (839:839:839))
- (PORT datad (863:863:863) (814:814:814))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (455:455:455) (412:412:412))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[4\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (889:889:889) (856:856:856))
- (PORT datab (988:988:988) (944:944:944))
- (PORT datac (1120:1120:1120) (1040:1040:1040))
- (PORT datad (1190:1190:1190) (1106:1106:1106))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (288:288:288) (326:326:326))
- (PORT datab (322:322:322) (356:356:356))
- (PORT datac (245:245:245) (278:278:278))
- (PORT datad (501:501:501) (465:465:465))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1880:1880:1880) (1849:1849:1849))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[1\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (834:834:834) (810:810:810))
- (PORT datab (917:917:917) (852:852:852))
- (PORT datac (284:284:284) (343:343:343))
- (PORT datad (912:912:912) (892:892:892))
- (IOPATH dataa combout (393:393:393) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~25)
- (DELAY
- (ABSOLUTE
- (PORT dataa (544:544:544) (510:510:510))
- (PORT datab (511:511:511) (499:499:499))
- (PORT datac (263:263:263) (289:289:289))
- (PORT datad (817:817:817) (766:766:766))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (455:455:455) (433:433:433))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1878:1878:1878) (1848:1848:1848))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[5\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (834:834:834) (810:810:810))
- (PORT datab (916:916:916) (852:852:852))
- (PORT datac (284:284:284) (343:343:343))
- (PORT datad (295:295:295) (365:365:365))
- (IOPATH dataa combout (393:393:393) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~18)
- (DELAY
- (ABSOLUTE
- (PORT dataa (902:902:902) (824:824:824))
- (PORT datab (336:336:336) (382:382:382))
- (PORT datac (790:790:790) (746:746:746))
- (PORT datad (291:291:291) (318:318:318))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~14)
- (DELAY
- (ABSOLUTE
- (PORT datab (314:314:314) (342:342:342))
- (PORT datac (796:796:796) (754:754:754))
- (PORT datad (299:299:299) (343:343:343))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~26)
- (DELAY
- (ABSOLUTE
- (PORT dataa (833:833:833) (794:794:794))
- (PORT datab (275:275:275) (299:299:299))
- (PORT datac (270:270:270) (300:300:300))
- (PORT datad (267:267:267) (285:285:285))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~19)
- (DELAY
- (ABSOLUTE
- (PORT datab (930:930:930) (864:864:864))
- (PORT datad (500:500:500) (460:460:460))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1880:1880:1880) (1849:1849:1849))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (836:836:836) (812:812:812))
- (PORT datab (919:919:919) (856:856:856))
- (PORT datac (284:284:284) (343:343:343))
- (PORT datad (829:829:829) (827:827:827))
- (IOPATH dataa combout (393:393:393) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan2\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (527:527:527) (519:519:519))
- (PORT datab (984:984:984) (924:924:924))
- (PORT datad (1189:1189:1189) (1104:1104:1104))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~20)
- (DELAY
- (ABSOLUTE
- (PORT dataa (890:890:890) (843:843:843))
- (PORT datac (849:849:849) (795:795:795))
- (PORT datad (908:908:908) (849:849:849))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~21)
- (DELAY
- (ABSOLUTE
- (PORT dataa (564:564:564) (524:524:524))
- (PORT datab (928:928:928) (861:861:861))
- (PORT datac (475:475:475) (447:447:447))
- (PORT datad (239:239:239) (257:257:257))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1880:1880:1880) (1849:1849:1849))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[10\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (838:838:838) (815:815:815))
- (PORT datab (924:924:924) (861:861:861))
- (PORT datac (283:283:283) (342:342:342))
- (PORT datad (931:931:931) (915:915:915))
- (IOPATH dataa combout (393:393:393) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~22)
- (DELAY
- (ABSOLUTE
- (PORT dataa (305:305:305) (353:353:353))
- (PORT datab (886:886:886) (822:822:822))
- (PORT datac (936:936:936) (910:910:910))
- (PORT datad (282:282:282) (309:309:309))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~23)
- (DELAY
- (ABSOLUTE
- (PORT dataa (317:317:317) (352:352:352))
- (PORT datab (283:283:283) (311:311:311))
- (PORT datac (455:455:455) (430:430:430))
- (PORT datad (285:285:285) (317:317:317))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[13\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1880:1880:1880) (1849:1849:1849))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[11\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (835:835:835) (811:811:811))
- (PORT datab (918:918:918) (911:911:911))
- (PORT datac (284:284:284) (343:343:343))
- (PORT datad (857:857:857) (807:807:807))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (455:455:455) (412:412:412))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~24)
- (DELAY
- (ABSOLUTE
- (PORT dataa (289:289:289) (328:328:328))
- (PORT datab (325:325:325) (360:360:360))
- (PORT datac (455:455:455) (430:430:430))
- (PORT datad (276:276:276) (301:301:301))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[15\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1880:1880:1880) (1849:1849:1849))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[12\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (837:837:837) (814:814:814))
- (PORT datab (844:844:844) (810:810:810))
- (PORT datac (283:283:283) (342:342:342))
- (PORT datad (862:862:862) (812:812:812))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (455:455:455) (412:412:412))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
-)
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This file contains Slow Corner delays for the design using part EP4CE15F23C8,
+// with speed grade 8, core voltage 1.2V, and temperature 85 Celsius
+//
+
+//
+// This SDF file should be used for ModelSim (Verilog) only
+//
+
+(DELAYFILE
+ (SDFVERSION "2.1")
+ (DESIGN "vga_colorbar")
+ (DATE "04/29/2025 20:26:33")
+ (VENDOR "Altera")
+ (PROGRAM "Quartus II 32-bit")
+ (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition")
+ (DIVIDER .)
+ (TIMESCALE 1 ps)
+
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (370:370:370) (460:460:460))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_pll")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
+ (DELAY
+ (ABSOLUTE
+ (PORT areset (4503:4503:4503) (4503:4503:4503))
+ (PORT inclk[0] (2340:2340:2340) (2340:2340:2340))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (960:960:960) (961:961:961))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (971:971:971) (950:950:950))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (973:973:973) (953:953:953))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1006:1006:1006) (978:978:978))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (569:569:569) (599:599:599))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (960:960:960) (939:939:939))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (558:558:558) (603:603:603))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (958:958:958) (962:962:962))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (397:397:397) (486:486:486))
+ (PORT datad (343:343:343) (426:426:426))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (840:840:840) (788:788:788))
+ (PORT datab (858:858:858) (836:836:836))
+ (PORT datad (316:316:316) (356:356:356))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[4\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1230:1230:1230) (1146:1146:1146))
+ (PORT datad (924:924:924) (874:874:874))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1160:1160:1160) (1082:1082:1082))
+ (PORT datab (967:967:967) (899:899:899))
+ (PORT datac (937:937:937) (910:910:910))
+ (PORT datad (1184:1184:1184) (1098:1098:1098))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (412:412:412))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (841:841:841) (803:803:803))
+ (PORT datab (509:509:509) (494:494:494))
+ (PORT datac (245:245:245) (275:275:275))
+ (PORT datad (262:262:262) (300:300:300))
+ (IOPATH dataa combout (404:404:404) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~17)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (337:337:337) (383:383:383))
+ (PORT datac (842:842:842) (776:776:776))
+ (PORT datad (291:291:291) (318:318:318))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_clk\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (806:806:806) (852:852:852))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (2339:2339:2339) (2308:2308:2308))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE hsync\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (2108:2108:2108) (2266:2266:2266))
+ (IOPATH i o (3174:3174:3174) (3271:3271:3271))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE vsync\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1864:1864:1864) (2034:2034:2034))
+ (IOPATH i o (3184:3184:3184) (3281:3281:3281))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[0\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (2928:2928:2928) (2696:2696:2696))
+ (IOPATH i o (3271:3271:3271) (3174:3174:3174))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[1\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (2872:2872:2872) (2631:2631:2631))
+ (IOPATH i o (3281:3281:3281) (3184:3184:3184))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[2\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (3183:3183:3183) (2900:2900:2900))
+ (IOPATH i o (3281:3281:3281) (3184:3184:3184))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[3\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (3530:3530:3530) (3206:3206:3206))
+ (IOPATH i o (3429:3429:3429) (3366:3366:3366))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[4\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (3524:3524:3524) (3201:3201:3201))
+ (IOPATH i o (3409:3409:3409) (3346:3346:3346))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[5\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1540:1540:1540) (1460:1460:1460))
+ (IOPATH i o (3409:3409:3409) (3346:3346:3346))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[6\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1581:1581:1581) (1475:1475:1475))
+ (IOPATH i o (3409:3409:3409) (3346:3346:3346))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[7\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (2139:2139:2139) (1969:1969:1969))
+ (IOPATH i o (3419:3419:3419) (3356:3356:3356))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[8\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1953:1953:1953) (1815:1815:1815))
+ (IOPATH i o (3379:3379:3379) (3316:3316:3316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[9\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1947:1947:1947) (1781:1781:1781))
+ (IOPATH i o (3399:3399:3399) (3336:3336:3336))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[10\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1885:1885:1885) (1698:1698:1698))
+ (IOPATH i o (3389:3389:3389) (3326:3326:3326))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[11\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1851:1851:1851) (1680:1680:1680))
+ (IOPATH i o (3389:3389:3389) (3326:3326:3326))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[12\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1486:1486:1486) (1329:1329:1329))
+ (IOPATH i o (3399:3399:3399) (3336:3336:3336))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[13\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1506:1506:1506) (1334:1334:1334))
+ (IOPATH i o (3389:3389:3389) (3326:3326:3326))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[14\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1091:1091:1091) (970:970:970))
+ (IOPATH i o (3399:3399:3399) (3336:3336:3336))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[15\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1123:1123:1123) (999:999:999))
+ (IOPATH i o (3389:3389:3389) (3326:3326:3326))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (366:366:366) (447:447:447))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_rst_n\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (766:766:766) (812:812:812))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (2921:2921:2921) (2960:2960:2960))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (4667:4667:4667) (4459:4459:4459))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE rst_n\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (2254:2254:2254) (2277:2277:2277))
+ (PORT datab (332:332:332) (408:408:408))
+ (PORT datac (3743:3743:3743) (3918:3918:3918))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE rst_n\~0clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (2220:2220:2220) (2115:2115:2115))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (370:370:370) (456:456:456))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (367:367:367) (450:450:450))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (359:359:359) (436:436:436))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (917:917:917) (889:889:889))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (369:369:369) (448:448:448))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (362:362:362) (446:446:446))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (402:402:402) (492:492:492))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (350:350:350) (435:435:435))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (848:848:848) (777:777:777))
+ (PORT datac (240:240:240) (266:266:266))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (789:789:789) (706:706:706))
+ (PORT datac (265:265:265) (291:291:291))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1846:1846:1846) (1857:1857:1857))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1878:1878:1878) (1848:1848:1848))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (660:660:660) (668:668:668))
+ (PORT datab (390:390:390) (477:477:477))
+ (PORT datac (853:853:853) (839:839:839))
+ (PORT datad (328:328:328) (405:405:405))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (371:371:371) (461:461:461))
+ (PORT datab (368:368:368) (451:451:451))
+ (PORT datac (327:327:327) (412:412:412))
+ (PORT datad (329:329:329) (405:405:405))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (895:895:895) (876:876:876))
+ (PORT datab (741:741:741) (680:680:680))
+ (PORT datac (724:724:724) (663:663:663))
+ (PORT datad (917:917:917) (899:899:899))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (279:279:279) (305:305:305))
+ (PORT datac (806:806:806) (740:740:740))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (396:396:396) (485:485:485))
+ (PORT datad (342:342:342) (425:425:425))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (858:858:858) (841:841:841))
+ (PORT datab (933:933:933) (885:885:885))
+ (PORT datac (767:767:767) (704:704:704))
+ (PORT datad (328:328:328) (401:401:401))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (849:849:849) (802:802:802))
+ (PORT datab (862:862:862) (841:841:841))
+ (PORT datad (313:313:313) (352:352:352))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (875:875:875) (832:832:832))
+ (PORT datab (857:857:857) (834:834:834))
+ (PORT datad (317:317:317) (358:358:358))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1110:1110:1110) (1019:1019:1019))
+ (PORT datab (856:856:856) (834:834:834))
+ (PORT datad (318:318:318) (359:359:359))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1021:1021:1021) (997:997:997))
+ (PORT datab (366:366:366) (449:449:449))
+ (PORT datac (326:326:326) (408:408:408))
+ (PORT datad (327:327:327) (401:401:401))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1194:1194:1194) (1079:1079:1079))
+ (PORT datab (860:860:860) (838:838:838))
+ (PORT datad (315:315:315) (355:355:355))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (307:307:307) (351:351:351))
+ (PORT datab (367:367:367) (450:450:450))
+ (PORT datac (450:450:450) (427:427:427))
+ (PORT datad (337:337:337) (417:417:417))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (943:943:943) (877:877:877))
+ (PORT datab (856:856:856) (834:834:834))
+ (PORT datad (318:318:318) (358:358:358))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (367:367:367) (450:450:450))
+ (PORT datac (327:327:327) (409:409:409))
+ (PORT datad (329:329:329) (402:402:402))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (893:893:893) (829:829:829))
+ (PORT datab (860:860:860) (839:839:839))
+ (PORT datad (315:315:315) (354:354:354))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (569:569:569) (597:597:597))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (880:880:880) (846:846:846))
+ (PORT datab (279:279:279) (305:305:305))
+ (PORT datad (1206:1206:1206) (1115:1115:1115))
+ (IOPATH dataa combout (421:421:421) (428:428:428))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1846:1846:1846) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1878:1878:1878) (1848:1848:1848))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (333:333:333) (411:411:411))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (880:880:880) (846:846:846))
+ (PORT datab (280:280:280) (306:306:306))
+ (PORT datad (1207:1207:1207) (1116:1116:1116))
+ (IOPATH dataa combout (421:421:421) (428:428:428))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1846:1846:1846) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1878:1878:1878) (1848:1848:1848))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (281:281:281) (314:314:314))
+ (PORT datab (1265:1265:1265) (1163:1163:1163))
+ (PORT datad (838:838:838) (794:794:794))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1846:1846:1846) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1878:1878:1878) (1848:1848:1848))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (360:360:360) (443:443:443))
+ (PORT datab (359:359:359) (435:435:435))
+ (PORT datac (872:872:872) (866:866:866))
+ (PORT datad (893:893:893) (890:890:890))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (288:288:288) (326:326:326))
+ (PORT datab (377:377:377) (459:459:459))
+ (PORT datac (959:959:959) (948:948:948))
+ (PORT datad (261:261:261) (296:296:296))
+ (IOPATH dataa combout (392:392:392) (407:407:407))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan6\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (367:367:367) (449:449:449))
+ (PORT datad (336:336:336) (416:416:416))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (957:957:957) (961:961:961))
+ (PORT datab (371:371:371) (451:451:451))
+ (PORT datac (528:528:528) (560:560:560))
+ (PORT datad (517:517:517) (552:552:552))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (285:285:285) (323:323:323))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (698:698:698) (629:629:629))
+ (PORT datad (265:265:265) (300:300:300))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (930:930:930) (883:883:883))
+ (PORT datac (848:848:848) (826:826:826))
+ (PORT datad (326:326:326) (400:400:400))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb_valid\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (735:735:735) (684:684:684))
+ (PORT datab (974:974:974) (944:944:944))
+ (PORT datac (765:765:765) (703:703:703))
+ (PORT datad (254:254:254) (280:280:280))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (455:455:455) (424:424:424))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (618:618:618) (628:628:628))
+ (PORT datab (837:837:837) (806:806:806))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (618:618:618) (628:628:628))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (570:570:570) (597:597:597))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (582:582:582) (607:607:607))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (853:853:853) (839:839:839))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (620:620:620) (627:627:627))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (855:855:855) (837:837:837))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (337:337:337) (383:383:383))
+ (PORT datad (291:291:291) (318:318:318))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (644:644:644) (650:650:650))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (619:619:619) (628:628:628))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (839:839:839) (801:801:801))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datac (273:273:273) (303:303:303))
+ (PORT datad (274:274:274) (299:299:299))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (306:306:306) (351:351:351))
+ (PORT datac (955:955:955) (943:943:943))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan4\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (767:767:767) (710:710:710))
+ (PORT datab (976:976:976) (946:946:946))
+ (PORT datac (764:764:764) (701:701:701))
+ (PORT datad (257:257:257) (283:283:283))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (736:736:736) (684:684:684))
+ (PORT datab (976:976:976) (946:946:946))
+ (PORT datac (723:723:723) (662:662:662))
+ (PORT datad (256:256:256) (281:281:281))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (435:435:435) (424:424:424))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (835:835:835) (812:812:812))
+ (PORT datab (918:918:918) (854:854:854))
+ (PORT datac (237:237:237) (264:264:264))
+ (PORT datad (238:238:238) (257:257:257))
+ (IOPATH dataa combout (392:392:392) (407:407:407))
+ (IOPATH datab combout (393:393:393) (412:412:412))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1232:1232:1232) (1148:1148:1148))
+ (PORT datad (847:847:847) (804:804:804))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (281:281:281) (313:313:313))
+ (PORT datab (888:888:888) (823:823:823))
+ (PORT datac (934:934:934) (907:907:907))
+ (PORT datad (279:279:279) (305:305:305))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (888:888:888) (855:855:855))
+ (PORT datab (966:966:966) (898:898:898))
+ (PORT datac (934:934:934) (907:907:907))
+ (PORT datad (1188:1188:1188) (1104:1104:1104))
+ (IOPATH dataa combout (404:404:404) (398:398:398))
+ (IOPATH datab combout (407:407:407) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (304:304:304) (351:351:351))
+ (PORT datab (320:320:320) (350:350:350))
+ (PORT datac (935:935:935) (908:908:908))
+ (PORT datad (487:487:487) (468:468:468))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (435:435:435) (424:424:424))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[4\]\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (936:936:936) (910:910:910))
+ (PORT datad (846:846:846) (803:803:803))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (903:903:903) (824:824:824))
+ (PORT datab (338:338:338) (384:384:384))
+ (PORT datac (795:795:795) (753:753:753))
+ (PORT datad (291:291:291) (318:318:318))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (305:305:305) (353:353:353))
+ (PORT datab (304:304:304) (329:329:329))
+ (PORT datac (243:243:243) (274:274:274))
+ (PORT datad (802:802:802) (754:754:754))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (573:573:573) (537:537:537))
+ (PORT datab (285:285:285) (315:315:315))
+ (PORT datac (288:288:288) (315:315:315))
+ (PORT datad (245:245:245) (270:270:270))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1848:1848:1848) (1858:1858:1858))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1880:1880:1880) (1849:1849:1849))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (837:837:837) (815:815:815))
+ (PORT datab (327:327:327) (381:381:381))
+ (PORT datac (852:852:852) (839:839:839))
+ (PORT datad (863:863:863) (814:814:814))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[4\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (889:889:889) (856:856:856))
+ (PORT datab (988:988:988) (944:944:944))
+ (PORT datac (1120:1120:1120) (1040:1040:1040))
+ (PORT datad (1190:1190:1190) (1106:1106:1106))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (435:435:435) (424:424:424))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (288:288:288) (326:326:326))
+ (PORT datab (322:322:322) (356:356:356))
+ (PORT datac (245:245:245) (278:278:278))
+ (PORT datad (501:501:501) (465:465:465))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1848:1848:1848) (1858:1858:1858))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1880:1880:1880) (1849:1849:1849))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[1\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (834:834:834) (810:810:810))
+ (PORT datab (917:917:917) (852:852:852))
+ (PORT datac (284:284:284) (343:343:343))
+ (PORT datad (912:912:912) (892:892:892))
+ (IOPATH dataa combout (393:393:393) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~25)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (544:544:544) (510:510:510))
+ (PORT datab (511:511:511) (499:499:499))
+ (PORT datac (263:263:263) (289:289:289))
+ (PORT datad (817:817:817) (766:766:766))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (455:455:455) (433:433:433))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1846:1846:1846) (1857:1857:1857))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1878:1878:1878) (1848:1848:1848))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[5\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (834:834:834) (810:810:810))
+ (PORT datab (916:916:916) (852:852:852))
+ (PORT datac (284:284:284) (343:343:343))
+ (PORT datad (295:295:295) (365:365:365))
+ (IOPATH dataa combout (393:393:393) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (902:902:902) (824:824:824))
+ (PORT datab (336:336:336) (382:382:382))
+ (PORT datac (790:790:790) (746:746:746))
+ (PORT datad (291:291:291) (318:318:318))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (314:314:314) (342:342:342))
+ (PORT datac (796:796:796) (754:754:754))
+ (PORT datad (299:299:299) (343:343:343))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~26)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (833:833:833) (794:794:794))
+ (PORT datab (275:275:275) (299:299:299))
+ (PORT datac (270:270:270) (300:300:300))
+ (PORT datad (267:267:267) (285:285:285))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~19)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (930:930:930) (864:864:864))
+ (PORT datad (500:500:500) (460:460:460))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1848:1848:1848) (1858:1858:1858))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1880:1880:1880) (1849:1849:1849))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (836:836:836) (812:812:812))
+ (PORT datab (919:919:919) (856:856:856))
+ (PORT datac (284:284:284) (343:343:343))
+ (PORT datad (829:829:829) (827:827:827))
+ (IOPATH dataa combout (393:393:393) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan2\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (527:527:527) (519:519:519))
+ (PORT datab (984:984:984) (924:924:924))
+ (PORT datad (1189:1189:1189) (1104:1104:1104))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (890:890:890) (843:843:843))
+ (PORT datac (849:849:849) (795:795:795))
+ (PORT datad (908:908:908) (849:849:849))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~21)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (564:564:564) (524:524:524))
+ (PORT datab (928:928:928) (861:861:861))
+ (PORT datac (475:475:475) (447:447:447))
+ (PORT datad (239:239:239) (257:257:257))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1848:1848:1848) (1858:1858:1858))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1880:1880:1880) (1849:1849:1849))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[10\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (838:838:838) (815:815:815))
+ (PORT datab (924:924:924) (861:861:861))
+ (PORT datac (283:283:283) (342:342:342))
+ (PORT datad (931:931:931) (915:915:915))
+ (IOPATH dataa combout (393:393:393) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (305:305:305) (353:353:353))
+ (PORT datab (886:886:886) (822:822:822))
+ (PORT datac (936:936:936) (910:910:910))
+ (PORT datad (282:282:282) (309:309:309))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~23)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (317:317:317) (352:352:352))
+ (PORT datab (283:283:283) (311:311:311))
+ (PORT datac (455:455:455) (430:430:430))
+ (PORT datad (285:285:285) (317:317:317))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[13\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1848:1848:1848) (1858:1858:1858))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1880:1880:1880) (1849:1849:1849))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[11\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (835:835:835) (811:811:811))
+ (PORT datab (918:918:918) (911:911:911))
+ (PORT datac (284:284:284) (343:343:343))
+ (PORT datad (857:857:857) (807:807:807))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~24)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (289:289:289) (328:328:328))
+ (PORT datab (325:325:325) (360:360:360))
+ (PORT datac (455:455:455) (430:430:430))
+ (PORT datad (276:276:276) (301:301:301))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[15\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1848:1848:1848) (1858:1858:1858))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1880:1880:1880) (1849:1849:1849))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[12\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (837:837:837) (814:814:814))
+ (PORT datab (844:844:844) (810:810:810))
+ (PORT datac (283:283:283) (342:342:342))
+ (PORT datad (862:862:862) (812:812:812))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+)
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_fast.vo b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_fast.vo
index 3bcdef2..17a7bae 100644
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_fast.vo
+++ b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_fast.vo
@@ -1,2833 +1,2833 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-// VENDOR "Altera"
-// PROGRAM "Quartus II 64-Bit"
-// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version"
-
-// DATE "06/02/2023 04:42:20"
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This Verilog file should be used for ModelSim (Verilog) only
-//
-
-`timescale 1 ps/ 1 ps
-
-module vga_colorbar (
- sys_clk,
- sys_rst_n,
- hsync,
- vsync,
- rgb);
-input sys_clk;
-input sys_rst_n;
-output hsync;
-output vsync;
-output [15:0] rgb;
-
-// Design Ports Information
-// hsync => Location: PIN_AA18, I/O Standard: 2.5 V, Current Strength: Default
-// vsync => Location: PIN_AB17, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[0] => Location: PIN_AB18, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[1] => Location: PIN_AA19, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[2] => Location: PIN_AB19, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[3] => Location: PIN_Y21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[4] => Location: PIN_W19, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[5] => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[6] => Location: PIN_U21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[7] => Location: PIN_U22, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[8] => Location: PIN_N20, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[9] => Location: PIN_N21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[10] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[11] => Location: PIN_M22, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[12] => Location: PIN_L21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[13] => Location: PIN_L22, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[14] => Location: PIN_K21, I/O Standard: 2.5 V, Current Strength: Default
-// rgb[15] => Location: PIN_J21, I/O Standard: 2.5 V, Current Strength: Default
-// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
-// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
-
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-tri1 devclrn;
-tri1 devpor;
-tri1 devoe;
-// synopsys translate_off
-initial $sdf_annotate("vga_colorbar_min_1200mv_0c_v_fast.sdo");
-// synopsys translate_on
-
-wire \vga_ctrl_inst|Add0~4_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
-wire \vga_ctrl_inst|Add1~0_combout ;
-wire \vga_ctrl_inst|Add1~2_combout ;
-wire \vga_ctrl_inst|Add1~4_combout ;
-wire \vga_ctrl_inst|Add1~6_combout ;
-wire \vga_ctrl_inst|Add1~8_combout ;
-wire \vga_ctrl_inst|Add1~10_combout ;
-wire \vga_ctrl_inst|Add1~12_combout ;
-wire \vga_ctrl_inst|Add1~16_combout ;
-wire \vga_ctrl_inst|Equal0~0_combout ;
-wire \vga_ctrl_inst|cnt_v[8]~3_combout ;
-wire \vga_pic_inst|pix_data[4]~5_combout ;
-wire \vga_pic_inst|pix_data~8_combout ;
-wire \vga_pic_inst|pix_data~15_combout ;
-wire \vga_pic_inst|pix_data~17_combout ;
-wire \sys_clk~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~0_combout ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
-wire \sys_rst_n~input_o ;
-wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
-wire \rst_n~0_combout ;
-wire \rst_n~0clkctrl_outclk ;
-wire \vga_ctrl_inst|Add0~1 ;
-wire \vga_ctrl_inst|Add0~3 ;
-wire \vga_ctrl_inst|Add0~5 ;
-wire \vga_ctrl_inst|Add0~6_combout ;
-wire \vga_ctrl_inst|Add0~7 ;
-wire \vga_ctrl_inst|Add0~8_combout ;
-wire \vga_ctrl_inst|Add0~9 ;
-wire \vga_ctrl_inst|Add0~11 ;
-wire \vga_ctrl_inst|Add0~12_combout ;
-wire \vga_ctrl_inst|Add0~13 ;
-wire \vga_ctrl_inst|Add0~14_combout ;
-wire \vga_ctrl_inst|Add0~15 ;
-wire \vga_ctrl_inst|Add0~16_combout ;
-wire \vga_ctrl_inst|Add0~17 ;
-wire \vga_ctrl_inst|Add0~18_combout ;
-wire \vga_ctrl_inst|cnt_h~1_combout ;
-wire \vga_ctrl_inst|Add0~10_combout ;
-wire \vga_ctrl_inst|cnt_h~0_combout ;
-wire \vga_ctrl_inst|Equal0~2_combout ;
-wire \vga_ctrl_inst|Add0~2_combout ;
-wire \vga_ctrl_inst|Equal0~1_combout ;
-wire \vga_ctrl_inst|Equal0~3_combout ;
-wire \vga_ctrl_inst|cnt_h~2_combout ;
-wire \vga_ctrl_inst|LessThan2~0_combout ;
-wire \vga_ctrl_inst|LessThan0~0_combout ;
-wire \vga_ctrl_inst|cnt_v[0]~9_combout ;
-wire \vga_ctrl_inst|cnt_v[2]~8_combout ;
-wire \vga_ctrl_inst|cnt_v[4]~6_combout ;
-wire \vga_ctrl_inst|always1~1_combout ;
-wire \vga_ctrl_inst|cnt_v[1]~0_combout ;
-wire \vga_ctrl_inst|always1~2_combout ;
-wire \vga_ctrl_inst|cnt_v[3]~7_combout ;
-wire \vga_ctrl_inst|LessThan6~0_combout ;
-wire \vga_ctrl_inst|cnt_v[5]~2_combout ;
-wire \vga_ctrl_inst|Add1~1 ;
-wire \vga_ctrl_inst|Add1~3 ;
-wire \vga_ctrl_inst|Add1~5 ;
-wire \vga_ctrl_inst|Add1~7 ;
-wire \vga_ctrl_inst|Add1~9 ;
-wire \vga_ctrl_inst|Add1~11 ;
-wire \vga_ctrl_inst|Add1~13 ;
-wire \vga_ctrl_inst|Add1~14_combout ;
-wire \vga_ctrl_inst|cnt_v[7]~4_combout ;
-wire \vga_ctrl_inst|Add1~15 ;
-wire \vga_ctrl_inst|Add1~17 ;
-wire \vga_ctrl_inst|Add1~18_combout ;
-wire \vga_ctrl_inst|cnt_v[9]~1_combout ;
-wire \vga_ctrl_inst|cnt_v[6]~5_combout ;
-wire \vga_ctrl_inst|always1~0_combout ;
-wire \vga_ctrl_inst|LessThan1~0_combout ;
-wire \vga_ctrl_inst|LessThan6~1_combout ;
-wire \vga_ctrl_inst|pix_data_req~1_combout ;
-wire \vga_ctrl_inst|pix_data_req~2_combout ;
-wire \vga_ctrl_inst|LessThan2~1_combout ;
-wire \vga_ctrl_inst|rgb_valid~0_combout ;
-wire \vga_ctrl_inst|Add2~1_cout ;
-wire \vga_ctrl_inst|Add2~3_cout ;
-wire \vga_ctrl_inst|Add2~5_cout ;
-wire \vga_ctrl_inst|Add2~7_cout ;
-wire \vga_ctrl_inst|Add2~9_cout ;
-wire \vga_ctrl_inst|Add2~11 ;
-wire \vga_ctrl_inst|Add2~12_combout ;
-wire \vga_ctrl_inst|Add2~10_combout ;
-wire \vga_pic_inst|LessThan14~0_combout ;
-wire \vga_ctrl_inst|Add2~13 ;
-wire \vga_ctrl_inst|Add2~15 ;
-wire \vga_ctrl_inst|Add2~16_combout ;
-wire \vga_ctrl_inst|Add2~14_combout ;
-wire \vga_pic_inst|LessThan6~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~0_combout ;
-wire \vga_ctrl_inst|LessThan4~0_combout ;
-wire \vga_ctrl_inst|pix_data_req~3_combout ;
-wire \vga_ctrl_inst|pix_data_req~4_combout ;
-wire \vga_pic_inst|pix_data~4_combout ;
-wire \vga_pic_inst|pix_data~9_combout ;
-wire \vga_pic_inst|LessThan17~0_combout ;
-wire \vga_pic_inst|pix_data~6_combout ;
-wire \vga_pic_inst|pix_data[4]~10_combout ;
-wire \vga_pic_inst|pix_data~11_combout ;
-wire \vga_pic_inst|pix_data~12_combout ;
-wire \vga_pic_inst|pix_data~13_combout ;
-wire \vga_ctrl_inst|rgb[0]~0_combout ;
-wire \vga_pic_inst|pix_data[4]~7_combout ;
-wire \vga_pic_inst|pix_data~16_combout ;
-wire \vga_ctrl_inst|rgb[1]~1_combout ;
-wire \vga_pic_inst|pix_data~25_combout ;
-wire \vga_ctrl_inst|rgb[5]~2_combout ;
-wire \vga_pic_inst|pix_data~18_combout ;
-wire \vga_pic_inst|pix_data~14_combout ;
-wire \vga_pic_inst|pix_data~26_combout ;
-wire \vga_pic_inst|pix_data~19_combout ;
-wire \vga_ctrl_inst|rgb[7]~3_combout ;
-wire \vga_pic_inst|LessThan2~2_combout ;
-wire \vga_pic_inst|pix_data~20_combout ;
-wire \vga_pic_inst|pix_data~21_combout ;
-wire \vga_ctrl_inst|rgb[10]~4_combout ;
-wire \vga_pic_inst|pix_data~22_combout ;
-wire \vga_pic_inst|pix_data~23_combout ;
-wire \vga_ctrl_inst|rgb[11]~5_combout ;
-wire \vga_pic_inst|pix_data~24_combout ;
-wire \vga_ctrl_inst|rgb[12]~6_combout ;
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
-wire [9:0] \vga_ctrl_inst|cnt_v ;
-wire [9:0] \vga_ctrl_inst|cnt_h ;
-wire [15:0] \vga_pic_inst|pix_data ;
-
-wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
-
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
-assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
-
-// Location: LCCOMB_X35_Y23_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
-// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~3 ),
- .combout(\vga_ctrl_inst|Add0~4_combout ),
- .cout(\vga_ctrl_inst|Add0~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: PLL_2
-cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
- .areset(!\sys_rst_n~input_o ),
- .pfdena(vcc),
- .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .phaseupdown(gnd),
- .phasestep(gnd),
- .scandata(gnd),
- .scanclk(gnd),
- .scanclkena(vcc),
- .configupdate(gnd),
- .clkswitch(gnd),
- .inclk({gnd,\sys_clk~input_o }),
- .phasecounterselect(3'b000),
- .phasedone(),
- .scandataout(),
- .scandone(),
- .activeclock(),
- .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .vcooverrange(),
- .vcounderrange(),
- .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
- .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
- .clkbad());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 12;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 12;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 12;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 3334;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 208;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
-// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
-
- .dataa(\vga_ctrl_inst|cnt_v [0]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add1~0_combout ),
- .cout(\vga_ctrl_inst|Add1~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h55AA;
-defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
-// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [1]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~1 ),
- .combout(\vga_ctrl_inst|Add1~2_combout ),
- .cout(\vga_ctrl_inst|Add1~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
-// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~3 ),
- .combout(\vga_ctrl_inst|Add1~4_combout ),
- .cout(\vga_ctrl_inst|Add1~5 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
-// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~5 ),
- .combout(\vga_ctrl_inst|Add1~6_combout ),
- .cout(\vga_ctrl_inst|Add1~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
-// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~7 ),
- .combout(\vga_ctrl_inst|Add1~8_combout ),
- .cout(\vga_ctrl_inst|Add1~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
-// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [5]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~9 ),
- .combout(\vga_ctrl_inst|Add1~10_combout ),
- .cout(\vga_ctrl_inst|Add1~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
-// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [6]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~11 ),
- .combout(\vga_ctrl_inst|Add1~12_combout ),
- .cout(\vga_ctrl_inst|Add1~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N26
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
-// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
-
- .dataa(\vga_ctrl_inst|cnt_v [8]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~15 ),
- .combout(\vga_ctrl_inst|Add1~16_combout ),
- .cout(\vga_ctrl_inst|Add1~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N13
-dffeas \vga_ctrl_inst|cnt_v[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[8]~3_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [8] & \vga_ctrl_inst|cnt_h [9])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'hCC00;
-defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N13
-dffeas \vga_ctrl_inst|cnt_h[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N12
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~3 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[8]~3_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~16_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [8]))))
-
- .dataa(\vga_ctrl_inst|Add1~16_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [8]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[8]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[8]~3 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[8]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~5 (
-// Equation(s):
-// \vga_pic_inst|pix_data[4]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~16_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~16_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[4]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4]~5 .lut_mask = 16'h00CC;
-defparam \vga_pic_inst|pix_data[4]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~8 (
-// Equation(s):
-// \vga_pic_inst|pix_data~8_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )) # (!\vga_ctrl_inst|Add2~10_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~16_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~8 .lut_mask = 16'hFBFF;
-defparam \vga_pic_inst|pix_data~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N10
-cycloneive_lcell_comb \vga_pic_inst|pix_data~15 (
-// Equation(s):
-// \vga_pic_inst|pix_data~15_combout = (\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|pix_data~11_combout & ((!\vga_pic_inst|pix_data[4]~10_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data~14_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~11_combout ),
- .datab(\vga_pic_inst|pix_data~14_combout ),
- .datac(\vga_pic_inst|pix_data[4]~10_combout ),
- .datad(\vga_pic_inst|pix_data[4]~5_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~15_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~15 .lut_mask = 16'h0ACC;
-defparam \vga_pic_inst|pix_data~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
-// Equation(s):
-// \vga_pic_inst|pix_data~17_combout = (\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout )) # (!\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~10_combout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~17_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0C3C;
-defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y15_N22
-cycloneive_io_ibuf \sys_clk~input (
- .i(sys_clk),
- .ibar(gnd),
- .o(\sys_clk~input_o ));
-// synopsys translate_off
-defparam \sys_clk~input .bus_hold = "false";
-defparam \sys_clk~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: CLKCTRL_G8
-cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
-defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: IOOBUF_X35_Y0_N30
-cycloneive_io_obuf \hsync~output (
- .i(!\vga_ctrl_inst|LessThan0~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(hsync),
- .obar());
-// synopsys translate_off
-defparam \hsync~output .bus_hold = "false";
-defparam \hsync~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X28_Y0_N2
-cycloneive_io_obuf \vsync~output (
- .i(!\vga_ctrl_inst|LessThan1~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(vsync),
- .obar());
-// synopsys translate_off
-defparam \vsync~output .bus_hold = "false";
-defparam \vsync~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X32_Y0_N2
-cycloneive_io_obuf \rgb[0]~output (
- .i(\vga_ctrl_inst|rgb[0]~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[0]),
- .obar());
-// synopsys translate_off
-defparam \rgb[0]~output .bus_hold = "false";
-defparam \rgb[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X35_Y0_N23
-cycloneive_io_obuf \rgb[1]~output (
- .i(\vga_ctrl_inst|rgb[1]~1_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[1]),
- .obar());
-// synopsys translate_off
-defparam \rgb[1]~output .bus_hold = "false";
-defparam \rgb[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X35_Y0_N16
-cycloneive_io_obuf \rgb[2]~output (
- .i(\vga_ctrl_inst|rgb[0]~0_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[2]),
- .obar());
-// synopsys translate_off
-defparam \rgb[2]~output .bus_hold = "false";
-defparam \rgb[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y4_N9
-cycloneive_io_obuf \rgb[3]~output (
- .i(\vga_ctrl_inst|rgb[1]~1_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[3]),
- .obar());
-// synopsys translate_off
-defparam \rgb[3]~output .bus_hold = "false";
-defparam \rgb[3]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y3_N9
-cycloneive_io_obuf \rgb[4]~output (
- .i(\vga_ctrl_inst|rgb[1]~1_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[4]),
- .obar());
-// synopsys translate_off
-defparam \rgb[4]~output .bus_hold = "false";
-defparam \rgb[4]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y3_N16
-cycloneive_io_obuf \rgb[5]~output (
- .i(\vga_ctrl_inst|rgb[5]~2_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[5]),
- .obar());
-// synopsys translate_off
-defparam \rgb[5]~output .bus_hold = "false";
-defparam \rgb[5]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y8_N2
-cycloneive_io_obuf \rgb[6]~output (
- .i(\vga_ctrl_inst|rgb[5]~2_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[6]),
- .obar());
-// synopsys translate_off
-defparam \rgb[6]~output .bus_hold = "false";
-defparam \rgb[6]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y8_N9
-cycloneive_io_obuf \rgb[7]~output (
- .i(\vga_ctrl_inst|rgb[7]~3_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[7]),
- .obar());
-// synopsys translate_off
-defparam \rgb[7]~output .bus_hold = "false";
-defparam \rgb[7]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y12_N16
-cycloneive_io_obuf \rgb[8]~output (
- .i(\vga_ctrl_inst|rgb[5]~2_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[8]),
- .obar());
-// synopsys translate_off
-defparam \rgb[8]~output .bus_hold = "false";
-defparam \rgb[8]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y13_N9
-cycloneive_io_obuf \rgb[9]~output (
- .i(\vga_ctrl_inst|rgb[7]~3_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[9]),
- .obar());
-// synopsys translate_off
-defparam \rgb[9]~output .bus_hold = "false";
-defparam \rgb[9]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y14_N23
-cycloneive_io_obuf \rgb[10]~output (
- .i(\vga_ctrl_inst|rgb[10]~4_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[10]),
- .obar());
-// synopsys translate_off
-defparam \rgb[10]~output .bus_hold = "false";
-defparam \rgb[10]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y13_N2
-cycloneive_io_obuf \rgb[11]~output (
- .i(\vga_ctrl_inst|rgb[11]~5_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[11]),
- .obar());
-// synopsys translate_off
-defparam \rgb[11]~output .bus_hold = "false";
-defparam \rgb[11]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y18_N16
-cycloneive_io_obuf \rgb[12]~output (
- .i(\vga_ctrl_inst|rgb[12]~6_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[12]),
- .obar());
-// synopsys translate_off
-defparam \rgb[12]~output .bus_hold = "false";
-defparam \rgb[12]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y18_N23
-cycloneive_io_obuf \rgb[13]~output (
- .i(\vga_ctrl_inst|rgb[11]~5_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[13]),
- .obar());
-// synopsys translate_off
-defparam \rgb[13]~output .bus_hold = "false";
-defparam \rgb[13]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y19_N9
-cycloneive_io_obuf \rgb[14]~output (
- .i(\vga_ctrl_inst|rgb[12]~6_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[14]),
- .obar());
-// synopsys translate_off
-defparam \rgb[14]~output .bus_hold = "false";
-defparam \rgb[14]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X41_Y20_N23
-cycloneive_io_obuf \rgb[15]~output (
- .i(\vga_ctrl_inst|rgb[12]~6_combout ),
- .oe(vcc),
- .seriesterminationcontrol(16'b0000000000000000),
- .devoe(devoe),
- .o(rgb[15]),
- .obar());
-// synopsys translate_off
-defparam \rgb[15]~output .bus_hold = "false";
-defparam \rgb[15]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
-// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Add0~0_combout ),
- .cout(\vga_ctrl_inst|Add0~1 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
-defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y3_N0
-cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
-// Equation(s):
-// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(gnd),
- .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .cout());
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X41_Y4_N1
-cycloneive_io_ibuf \sys_rst_n~input (
- .i(sys_rst_n),
- .ibar(gnd),
- .o(\sys_rst_n~input_o ));
-// synopsys translate_off
-defparam \sys_rst_n~input .bus_hold = "false";
-defparam \sys_rst_n~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: FF_X35_Y3_N1
-dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
- .asdata(vcc),
- .clrn(\sys_rst_n~input_o ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .prn(vcc));
-// synopsys translate_off
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
-defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y3_N10
-cycloneive_lcell_comb \rst_n~0 (
-// Equation(s):
-// \rst_n~0_combout = ((!\sys_rst_n~input_o ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked )
-
- .dataa(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
- .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
- .datac(\sys_rst_n~input_o ),
- .datad(gnd),
- .cin(gnd),
- .combout(\rst_n~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \rst_n~0 .lut_mask = 16'h7F7F;
-defparam \rst_n~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: CLKCTRL_G16
-cycloneive_clkctrl \rst_n~0clkctrl (
- .ena(vcc),
- .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
- .clkselect(2'b00),
- .devclrn(devclrn),
- .devpor(devpor),
- .outclk(\rst_n~0clkctrl_outclk ));
-// synopsys translate_off
-defparam \rst_n~0clkctrl .clock_type = "global clock";
-defparam \rst_n~0clkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N9
-dffeas \vga_ctrl_inst|cnt_h[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
-// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~1 ),
- .combout(\vga_ctrl_inst|Add0~2_combout ),
- .cout(\vga_ctrl_inst|Add0~3 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
-// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~5 ),
- .combout(\vga_ctrl_inst|Add0~6_combout ),
- .cout(\vga_ctrl_inst|Add0~7 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N15
-dffeas \vga_ctrl_inst|cnt_h[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
-// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [4]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~7 ),
- .combout(\vga_ctrl_inst|Add0~8_combout ),
- .cout(\vga_ctrl_inst|Add0~9 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N17
-dffeas \vga_ctrl_inst|cnt_h[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
-// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
-
- .dataa(\vga_ctrl_inst|cnt_h [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~9 ),
- .combout(\vga_ctrl_inst|Add0~10_combout ),
- .cout(\vga_ctrl_inst|Add0~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
-// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~11 ),
- .combout(\vga_ctrl_inst|Add0~12_combout ),
- .cout(\vga_ctrl_inst|Add0~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N21
-dffeas \vga_ctrl_inst|cnt_h[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~12_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
-// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~13 ),
- .combout(\vga_ctrl_inst|Add0~14_combout ),
- .cout(\vga_ctrl_inst|Add0~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F;
-defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N23
-dffeas \vga_ctrl_inst|cnt_h[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~14_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
-// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add0~15 ),
- .combout(\vga_ctrl_inst|Add0~16_combout ),
- .cout(\vga_ctrl_inst|Add0~17 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C;
-defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N26
-cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add0~18_combout = \vga_ctrl_inst|Add0~17 $ (\vga_ctrl_inst|cnt_h [9])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(\vga_ctrl_inst|Add0~17 ),
- .combout(\vga_ctrl_inst|Add0~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~1_combout = (!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|Add0~18_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|Add0~18_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h3030;
-defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N1
-dffeas \vga_ctrl_inst|cnt_h[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N24
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & !\vga_ctrl_inst|Equal0~3_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add0~10_combout ),
- .datac(\vga_ctrl_inst|Equal0~3_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h0C0C;
-defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y23_N25
-dffeas \vga_ctrl_inst|cnt_h[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N30
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|cnt_h [5] & !\vga_ctrl_inst|cnt_h [6])))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(\vga_ctrl_inst|cnt_h [9]),
- .datac(\vga_ctrl_inst|cnt_h [5]),
- .datad(\vga_ctrl_inst|cnt_h [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0008;
-defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N11
-dffeas \vga_ctrl_inst|cnt_h[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|Add0~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N28
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~1_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [1])))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(\vga_ctrl_inst|cnt_h [3]),
- .datac(\vga_ctrl_inst|cnt_h [0]),
- .datad(\vga_ctrl_inst|cnt_h [1]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h8000;
-defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
-// Equation(s):
-// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Equal0~2_combout & (\vga_ctrl_inst|Equal0~1_combout & !\vga_ctrl_inst|cnt_h [7])))
-
- .dataa(\vga_ctrl_inst|cnt_h [4]),
- .datab(\vga_ctrl_inst|Equal0~2_combout ),
- .datac(\vga_ctrl_inst|Equal0~1_combout ),
- .datad(\vga_ctrl_inst|cnt_h [7]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|Equal0~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'h0080;
-defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & !\vga_ctrl_inst|Equal0~3_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add0~16_combout ),
- .datac(\vga_ctrl_inst|Equal0~3_combout ),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_h~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h0C0C;
-defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X35_Y23_N3
-dffeas \vga_ctrl_inst|cnt_h[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_h~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_h [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X35_Y23_N4
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan2~0_combout = (!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|cnt_h [9])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [8]),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_h [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan2~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan2~0 .lut_mask = 16'h0033;
-defparam \vga_ctrl_inst|LessThan2~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # (((\vga_ctrl_inst|cnt_h [6] & \vga_ctrl_inst|cnt_h [5])) # (!\vga_ctrl_inst|LessThan2~0_combout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(\vga_ctrl_inst|LessThan2~0_combout ),
- .datad(\vga_ctrl_inst|cnt_h [5]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan0~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hEFAF;
-defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N28
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~9 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[0]~9_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~0_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [0]))))
-
- .dataa(\vga_ctrl_inst|Add1~0_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [0]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[0]~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0]~9 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[0]~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N29
-dffeas \vga_ctrl_inst|cnt_v[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[0]~9_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N4
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~8 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[2]~8_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~4_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [2]))))
-
- .dataa(\vga_ctrl_inst|Add1~4_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[2]~8_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2]~8 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[2]~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N5
-dffeas \vga_ctrl_inst|cnt_v[2] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[2]~8_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [2]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~6 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[4]~6_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~8_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [4]))))
-
- .dataa(\vga_ctrl_inst|Add1~8_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [4]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[4]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4]~6 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[4]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N1
-dffeas \vga_ctrl_inst|cnt_v[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[4]~6_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
-// Equation(s):
-// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|cnt_v [9] & (\vga_ctrl_inst|cnt_v [3] & (\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4])))
-
- .dataa(\vga_ctrl_inst|cnt_v [9]),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0080;
-defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~0 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[1]~0_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~2_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [1]))))
-
- .dataa(\vga_ctrl_inst|Add1~2_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [1]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[1]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1]~0 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[1]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N17
-dffeas \vga_ctrl_inst|cnt_v[1] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[1]~0_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [1]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
-// Equation(s):
-// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [0] & (\vga_ctrl_inst|always1~1_combout & !\vga_ctrl_inst|cnt_v [1])))
-
- .dataa(\vga_ctrl_inst|always1~0_combout ),
- .datab(\vga_ctrl_inst|cnt_v [0]),
- .datac(\vga_ctrl_inst|always1~1_combout ),
- .datad(\vga_ctrl_inst|cnt_v [1]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0020;
-defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~7 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[3]~7_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~6_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [3]))))
-
- .dataa(\vga_ctrl_inst|Add1~6_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [3]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[3]~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3]~7 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[3]~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N3
-dffeas \vga_ctrl_inst|cnt_v[3] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[3]~7_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [3]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [3]),
- .datac(\vga_ctrl_inst|cnt_v [2]),
- .datad(\vga_ctrl_inst|cnt_v [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0003;
-defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~2 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[5]~2_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~10_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [5]))))
-
- .dataa(\vga_ctrl_inst|Add1~10_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [5]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[5]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5]~2 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[5]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y23_N19
-dffeas \vga_ctrl_inst|cnt_v[5] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[5]~2_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [5]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N24
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
-// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [7]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add1~13 ),
- .combout(\vga_ctrl_inst|Add1~14_combout ),
- .cout(\vga_ctrl_inst|Add1~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h3C3F;
-defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N2
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~4 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[7]~4_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~14_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [7]))))
-
- .dataa(\vga_ctrl_inst|always1~2_combout ),
- .datab(\vga_ctrl_inst|Add1~14_combout ),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[7]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7]~4 .lut_mask = 16'h44F0;
-defparam \vga_ctrl_inst|cnt_v[7]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y24_N3
-dffeas \vga_ctrl_inst|cnt_v[7] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[7]~4_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [7]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N28
-cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
-// Equation(s):
-// \vga_ctrl_inst|Add1~18_combout = \vga_ctrl_inst|Add1~17 $ (\vga_ctrl_inst|cnt_v [9])
-
- .dataa(gnd),
- .datab(gnd),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_v [9]),
- .cin(\vga_ctrl_inst|Add1~17 ),
- .combout(\vga_ctrl_inst|Add1~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h0FF0;
-defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N0
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~1 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[9]~1_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~18_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [9]))))
-
- .dataa(\vga_ctrl_inst|always1~2_combout ),
- .datab(\vga_ctrl_inst|Add1~18_combout ),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|Equal0~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[9]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9]~1 .lut_mask = 16'h44F0;
-defparam \vga_ctrl_inst|cnt_v[9]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y24_N1
-dffeas \vga_ctrl_inst|cnt_v[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[9]~1_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N4
-cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~5 (
-// Equation(s):
-// \vga_ctrl_inst|cnt_v[6]~5_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~12_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [6]))))
-
- .dataa(\vga_ctrl_inst|Add1~12_combout ),
- .datab(\vga_ctrl_inst|Equal0~3_combout ),
- .datac(\vga_ctrl_inst|cnt_v [6]),
- .datad(\vga_ctrl_inst|always1~2_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|cnt_v[6]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6]~5 .lut_mask = 16'h30B8;
-defparam \vga_ctrl_inst|cnt_v[6]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X33_Y24_N5
-dffeas \vga_ctrl_inst|cnt_v[6] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_ctrl_inst|cnt_v[6]~5_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_ctrl_inst|cnt_v [6]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
-defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
-// Equation(s):
-// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
-
- .dataa(\vga_ctrl_inst|cnt_v [8]),
- .datab(\vga_ctrl_inst|cnt_v [5]),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|cnt_v [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|always1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan1~0_combout = ((\vga_ctrl_inst|cnt_v [1]) # ((\vga_ctrl_inst|cnt_v [9]) # (!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|LessThan6~0_combout )
-
- .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
- .datab(\vga_ctrl_inst|cnt_v [1]),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(\vga_ctrl_inst|always1~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan1~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'hFDFF;
-defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~1 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan6~1_combout = (!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0])
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_v [0]),
- .datac(gnd),
- .datad(\vga_ctrl_inst|cnt_v [1]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan6~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan6~1 .lut_mask = 16'h33FF;
-defparam \vga_ctrl_inst|LessThan6~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y24_N30
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~1_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
-
- .dataa(\vga_ctrl_inst|cnt_v [8]),
- .datab(\vga_ctrl_inst|cnt_v [9]),
- .datac(\vga_ctrl_inst|cnt_v [7]),
- .datad(\vga_ctrl_inst|cnt_v [6]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h0001;
-defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N24
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~2_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|LessThan6~1_combout & (\vga_ctrl_inst|pix_data_req~1_combout )) # (!\vga_ctrl_inst|LessThan6~1_combout & ((\vga_ctrl_inst|always1~0_combout ))))) #
-// (!\vga_ctrl_inst|LessThan6~0_combout & (((\vga_ctrl_inst|always1~0_combout ))))
-
- .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
- .datab(\vga_ctrl_inst|LessThan6~1_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
- .datad(\vga_ctrl_inst|always1~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'hF780;
-defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N28
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~1 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan2~1_combout = (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [5]))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(\vga_ctrl_inst|cnt_h [4]),
- .datad(\vga_ctrl_inst|cnt_h [5]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan2~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan2~1 .lut_mask = 16'h0003;
-defparam \vga_ctrl_inst|LessThan2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|rgb_valid~0 (
-// Equation(s):
-// \vga_ctrl_inst|rgb_valid~0_combout = (\vga_ctrl_inst|Equal0~0_combout & (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|LessThan2~0_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout & (((\vga_ctrl_inst|cnt_h [7] &
-// !\vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|LessThan2~0_combout )))
-
- .dataa(\vga_ctrl_inst|Equal0~0_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|LessThan2~0_combout ),
- .datad(\vga_ctrl_inst|LessThan2~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb_valid~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb_valid~0 .lut_mask = 16'h0745;
-defparam \vga_ctrl_inst|rgb_valid~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
-
- .dataa(\vga_ctrl_inst|cnt_h [1]),
- .datab(\vga_ctrl_inst|cnt_h [0]),
- .datac(gnd),
- .datad(vcc),
- .cin(gnd),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~1_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
-defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N8
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
-
- .dataa(\vga_ctrl_inst|cnt_h [2]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~1_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~3_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
-defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [3]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~3_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~5_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
-defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N12
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [4]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~5_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~7_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005;
-defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N14
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
-
- .dataa(\vga_ctrl_inst|cnt_h [5]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~7_cout ),
- .combout(),
- .cout(\vga_ctrl_inst|Add2~9_cout ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00AF;
-defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
-// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|cnt_h [6]),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~9_cout ),
- .combout(\vga_ctrl_inst|Add2~10_combout ),
- .cout(\vga_ctrl_inst|Add2~11 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
-defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N18
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
-// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [7]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~11 ),
- .combout(\vga_ctrl_inst|Add2~12_combout ),
- .cout(\vga_ctrl_inst|Add2~13 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hA50A;
-defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N24
-cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan14~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hCC00;
-defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
-// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
-
- .dataa(\vga_ctrl_inst|cnt_h [8]),
- .datab(gnd),
- .datac(gnd),
- .datad(vcc),
- .cin(\vga_ctrl_inst|Add2~13 ),
- .combout(\vga_ctrl_inst|Add2~14_combout ),
- .cout(\vga_ctrl_inst|Add2~15 ));
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505;
-defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
-// Equation(s):
-// \vga_ctrl_inst|Add2~16_combout = \vga_ctrl_inst|cnt_h [9] $ (\vga_ctrl_inst|Add2~15 )
-
- .dataa(\vga_ctrl_inst|cnt_h [9]),
- .datab(gnd),
- .datac(gnd),
- .datad(gnd),
- .cin(\vga_ctrl_inst|Add2~15 ),
- .combout(\vga_ctrl_inst|Add2~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5A5A;
-defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N2
-cycloneive_lcell_comb \vga_pic_inst|LessThan6~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan6~0_combout = ((\vga_pic_inst|LessThan14~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_ctrl_inst|Add2~14_combout ))) # (!\vga_ctrl_inst|pix_data_req~4_combout )
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_pic_inst|LessThan14~0_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|Add2~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan6~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan6~0 .lut_mask = 16'hFFFD;
-defparam \vga_pic_inst|LessThan6~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X33_Y23_N26
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|always1~0_combout & \vga_ctrl_inst|cnt_v [9])
-
- .dataa(\vga_ctrl_inst|always1~0_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|cnt_v [9]),
- .datad(gnd),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h5050;
-defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N4
-cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
-// Equation(s):
-// \vga_ctrl_inst|LessThan4~0_combout = (\vga_ctrl_inst|LessThan2~0_combout & (((!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|cnt_h [7])))
-
- .dataa(\vga_ctrl_inst|Equal0~1_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|LessThan2~0_combout ),
- .datad(\vga_ctrl_inst|LessThan2~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|LessThan4~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h7030;
-defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N10
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~3_combout = ((!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout )
-
- .dataa(\vga_ctrl_inst|Equal0~0_combout ),
- .datab(\vga_ctrl_inst|cnt_h [7]),
- .datac(\vga_ctrl_inst|Equal0~1_combout ),
- .datad(\vga_ctrl_inst|LessThan2~1_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'h5755;
-defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N22
-cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
-// Equation(s):
-// \vga_ctrl_inst|pix_data_req~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (!\vga_ctrl_inst|LessThan4~0_combout & \vga_ctrl_inst|pix_data_req~3_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|LessThan4~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~3_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'h0100;
-defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N2
-cycloneive_lcell_comb \vga_pic_inst|pix_data~4 (
-// Equation(s):
-// \vga_pic_inst|pix_data~4_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout )
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~4 .lut_mask = 16'h00CC;
-defparam \vga_pic_inst|pix_data~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~9 (
-// Equation(s):
-// \vga_pic_inst|pix_data~9_combout = (\vga_pic_inst|pix_data~8_combout & ((\vga_pic_inst|LessThan6~0_combout ) # ((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data~8_combout &
-// (((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~8_combout ),
- .datab(\vga_pic_inst|LessThan6~0_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_pic_inst|pix_data~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~9_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~9 .lut_mask = 16'h8F88;
-defparam \vga_pic_inst|pix_data~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N8
-cycloneive_lcell_comb \vga_pic_inst|LessThan17~0 (
-// Equation(s):
-// \vga_pic_inst|LessThan17~0_combout = (\vga_ctrl_inst|Add2~12_combout ) # ((\vga_ctrl_inst|Add2~10_combout ) # ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(\vga_ctrl_inst|Add2~10_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan17~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan17~0 .lut_mask = 16'hFEFF;
-defparam \vga_pic_inst|LessThan17~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N14
-cycloneive_lcell_comb \vga_pic_inst|pix_data~6 (
-// Equation(s):
-// \vga_pic_inst|pix_data~6_combout = ((\vga_pic_inst|LessThan17~0_combout & ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout )
-
- .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
- .datab(\vga_pic_inst|pix_data~4_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_pic_inst|LessThan17~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~6 .lut_mask = 16'hF755;
-defparam \vga_pic_inst|pix_data~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N22
-cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~10 (
-// Equation(s):
-// \vga_pic_inst|pix_data[4]~10_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
-
- .dataa(gnd),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[4]~10_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4]~10 .lut_mask = 16'h0FFF;
-defparam \vga_pic_inst|pix_data[4]~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N4
-cycloneive_lcell_comb \vga_pic_inst|pix_data~11 (
-// Equation(s):
-// \vga_pic_inst|pix_data~11_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~10_combout )))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~11_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~11 .lut_mask = 16'h0080;
-defparam \vga_pic_inst|pix_data~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N24
-cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
-// Equation(s):
-// \vga_pic_inst|pix_data~12_combout = (\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data[4]~10_combout ) # (!\vga_pic_inst|pix_data~11_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|LessThan17~0_combout ))
-
- .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
- .datab(\vga_pic_inst|LessThan17~0_combout ),
- .datac(\vga_pic_inst|pix_data[4]~10_combout ),
- .datad(\vga_pic_inst|pix_data~11_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~12_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'hE4EE;
-defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N16
-cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
-// Equation(s):
-// \vga_pic_inst|pix_data~13_combout = ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout ))) # (!\vga_pic_inst|pix_data~12_combout )
-
- .dataa(\vga_pic_inst|pix_data[4]~7_combout ),
- .datab(\vga_pic_inst|pix_data~9_combout ),
- .datac(\vga_pic_inst|pix_data~6_combout ),
- .datad(\vga_pic_inst|pix_data~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~13_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'h80FF;
-defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N17
-dffeas \vga_pic_inst|pix_data[0] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~13_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [0]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N0
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[0]~0 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[0]~0_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_ctrl_inst|rgb_valid~0_combout & (\vga_pic_inst|pix_data [0] & !\vga_ctrl_inst|pix_data_req~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datac(\vga_pic_inst|pix_data [0]),
- .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[0]~0_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[0]~0 .lut_mask = 16'h0040;
-defparam \vga_ctrl_inst|rgb[0]~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~7 (
-// Equation(s):
-// \vga_pic_inst|pix_data[4]~7_combout = (!\vga_ctrl_inst|Add2~16_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|Add2~12_combout ))))
-
- .dataa(\vga_ctrl_inst|Add2~12_combout ),
- .datab(\vga_ctrl_inst|Add2~14_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data[4]~7_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4]~7 .lut_mask = 16'h0700;
-defparam \vga_pic_inst|pix_data[4]~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N18
-cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
-// Equation(s):
-// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout )))
-
- .dataa(\vga_pic_inst|pix_data~15_combout ),
- .datab(\vga_pic_inst|pix_data[4]~7_combout ),
- .datac(\vga_pic_inst|pix_data~9_combout ),
- .datad(\vga_pic_inst|pix_data~6_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~16_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'hEAAA;
-defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N19
-dffeas \vga_pic_inst|pix_data[4] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~16_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [4]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N26
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~1 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[1]~1_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [4])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [4]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[1]~1_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[1]~1 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[1]~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
-// Equation(s):
-// \vga_pic_inst|pix_data~25_combout = (\vga_ctrl_inst|Add2~16_combout & (((!\vga_pic_inst|LessThan17~0_combout )))) # (!\vga_ctrl_inst|Add2~16_combout & ((\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data~17_combout )) #
-// (!\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_pic_inst|LessThan17~0_combout )))))
-
- .dataa(\vga_pic_inst|pix_data~17_combout ),
- .datab(\vga_ctrl_inst|Add2~16_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_pic_inst|LessThan17~0_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~25_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h20EF;
-defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y23_N13
-dffeas \vga_pic_inst|pix_data[8] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~25_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [8]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N30
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[5]~2 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[5]~2_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [8])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [8]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[5]~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[5]~2 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[5]~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N28
-cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
-// Equation(s):
-// \vga_pic_inst|pix_data~18_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~10_combout )) # (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout
-// ))))
-
- .dataa(\vga_ctrl_inst|Add2~14_combout ),
- .datab(\vga_ctrl_inst|Add2~12_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|Add2~10_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~18_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h4060;
-defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~14 (
-// Equation(s):
-// \vga_pic_inst|pix_data~14_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout ))
-
- .dataa(gnd),
- .datab(\vga_ctrl_inst|Add2~14_combout ),
- .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datad(\vga_ctrl_inst|Add2~12_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~14_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~14 .lut_mask = 16'h0030;
-defparam \vga_pic_inst|pix_data~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y23_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
-// Equation(s):
-// \vga_pic_inst|pix_data~26_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|pix_data~14_combout ))) # (!\vga_ctrl_inst|Add2~16_combout & (\vga_pic_inst|pix_data~18_combout )))) #
-// (!\vga_ctrl_inst|pix_data_req~4_combout & (((\vga_pic_inst|pix_data~14_combout ))))
-
- .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
- .datab(\vga_pic_inst|pix_data~18_combout ),
- .datac(\vga_ctrl_inst|Add2~16_combout ),
- .datad(\vga_pic_inst|pix_data~14_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~26_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hFD08;
-defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N0
-cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
-// Equation(s):
-// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|pix_data~26_combout & \vga_pic_inst|pix_data~6_combout )
-
- .dataa(gnd),
- .datab(\vga_pic_inst|pix_data~26_combout ),
- .datac(gnd),
- .datad(\vga_pic_inst|pix_data~6_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~19_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCC00;
-defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N1
-dffeas \vga_pic_inst|pix_data[9] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~19_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [9]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N16
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[7]~3_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [9])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [9]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N6
-cycloneive_lcell_comb \vga_pic_inst|LessThan2~2 (
-// Equation(s):
-// \vga_pic_inst|LessThan2~2_combout = (\vga_pic_inst|LessThan17~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout ))
-
- .dataa(\vga_pic_inst|LessThan17~0_combout ),
- .datab(\vga_ctrl_inst|Add2~16_combout ),
- .datac(gnd),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|LessThan2~2_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|LessThan2~2 .lut_mask = 16'hEEFF;
-defparam \vga_pic_inst|LessThan2~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N12
-cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
-// Equation(s):
-// \vga_pic_inst|pix_data~20_combout = (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|pix_data_req~4_combout ))
-
- .dataa(\vga_ctrl_inst|Add2~16_combout ),
- .datab(gnd),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~20_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h0500;
-defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y24_N26
-cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
-// Equation(s):
-// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|LessThan2~2_combout & ((\vga_pic_inst|pix_data~26_combout ) # ((\vga_pic_inst|pix_data~4_combout & \vga_pic_inst|pix_data~20_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~4_combout ),
- .datab(\vga_pic_inst|pix_data~26_combout ),
- .datac(\vga_pic_inst|LessThan2~2_combout ),
- .datad(\vga_pic_inst|pix_data~20_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~21_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'hE0C0;
-defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X37_Y24_N27
-dffeas \vga_pic_inst|pix_data[10] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~21_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [10]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N2
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~4 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[10]~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [10])))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_pic_inst|pix_data [10]),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[10]~4_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[10]~4 .lut_mask = 16'h1000;
-defparam \vga_ctrl_inst|rgb[10]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N20
-cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
-// Equation(s):
-// \vga_pic_inst|pix_data~22_combout = ((\vga_pic_inst|pix_data[4]~5_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))) # (!\vga_pic_inst|LessThan6~0_combout )
-
- .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
- .datab(\vga_pic_inst|LessThan6~0_combout ),
- .datac(\vga_ctrl_inst|Add2~14_combout ),
- .datad(\vga_pic_inst|pix_data~4_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~22_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h3B33;
-defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N28
-cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
-// Equation(s):
-// \vga_pic_inst|pix_data~23_combout = ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout )))) # (!\vga_pic_inst|pix_data~12_combout )
-
- .dataa(\vga_pic_inst|LessThan2~2_combout ),
- .datab(\vga_pic_inst|pix_data~12_combout ),
- .datac(\vga_pic_inst|pix_data~22_combout ),
- .datad(\vga_pic_inst|pix_data[4]~7_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~23_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'hF733;
-defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N29
-dffeas \vga_pic_inst|pix_data[13] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~23_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [13]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[13] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N20
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[11]~5 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[11]~5_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [13] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_pic_inst|pix_data [13]),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[11]~5_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[11]~5 .lut_mask = 16'h0040;
-defparam \vga_ctrl_inst|rgb[11]~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X36_Y24_N30
-cycloneive_lcell_comb \vga_pic_inst|pix_data~24 (
-// Equation(s):
-// \vga_pic_inst|pix_data~24_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout ))))
-
- .dataa(\vga_pic_inst|pix_data~15_combout ),
- .datab(\vga_pic_inst|pix_data[4]~7_combout ),
- .datac(\vga_pic_inst|pix_data~22_combout ),
- .datad(\vga_pic_inst|LessThan2~2_combout ),
- .cin(gnd),
- .combout(\vga_pic_inst|pix_data~24_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data~24 .lut_mask = 16'hEAEE;
-defparam \vga_pic_inst|pix_data~24 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X36_Y24_N31
-dffeas \vga_pic_inst|pix_data[15] (
- .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
- .d(\vga_pic_inst|pix_data~24_combout ),
- .asdata(vcc),
- .clrn(!\rst_n~0clkctrl_outclk ),
- .aload(gnd),
- .sclr(gnd),
- .sload(gnd),
- .ena(vcc),
- .devclrn(devclrn),
- .devpor(devpor),
- .q(\vga_pic_inst|pix_data [15]),
- .prn(vcc));
-// synopsys translate_off
-defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
-defparam \vga_pic_inst|pix_data[15] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X37_Y23_N6
-cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~6 (
-// Equation(s):
-// \vga_ctrl_inst|rgb[12]~6_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [15] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
-
- .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
- .datab(\vga_pic_inst|pix_data [15]),
- .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
- .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
- .cin(gnd),
- .combout(\vga_ctrl_inst|rgb[12]~6_combout ),
- .cout());
-// synopsys translate_off
-defparam \vga_ctrl_inst|rgb[12]~6 .lut_mask = 16'h0040;
-defparam \vga_ctrl_inst|rgb[12]~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-endmodule
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus II 32-bit"
+// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
+
+// DATE "04/29/2025 20:26:32"
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This Verilog file should be used for ModelSim (Verilog) only
+//
+
+`timescale 1 ps/ 1 ps
+
+module vga_colorbar (
+ sys_clk,
+ sys_rst_n,
+ hsync,
+ vsync,
+ rgb);
+input sys_clk;
+input sys_rst_n;
+output hsync;
+output vsync;
+output [15:0] rgb;
+
+// Design Ports Information
+// hsync => Location: PIN_AA18, I/O Standard: 2.5 V, Current Strength: Default
+// vsync => Location: PIN_AB17, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[0] => Location: PIN_AB18, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[1] => Location: PIN_AA19, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[2] => Location: PIN_AB19, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[3] => Location: PIN_Y21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[4] => Location: PIN_W19, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[5] => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[6] => Location: PIN_U21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[7] => Location: PIN_U22, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[8] => Location: PIN_N20, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[9] => Location: PIN_N21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[10] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[11] => Location: PIN_M22, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[12] => Location: PIN_L21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[13] => Location: PIN_L22, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[14] => Location: PIN_K21, I/O Standard: 2.5 V, Current Strength: Default
+// rgb[15] => Location: PIN_J21, I/O Standard: 2.5 V, Current Strength: Default
+// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
+// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
+
+
+wire gnd;
+wire vcc;
+wire unknown;
+
+assign gnd = 1'b0;
+assign vcc = 1'b1;
+assign unknown = 1'bx;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+// synopsys translate_off
+initial $sdf_annotate("vga_colorbar_min_1200mv_0c_v_fast.sdo");
+// synopsys translate_on
+
+wire \vga_ctrl_inst|Add0~4_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ;
+wire \vga_ctrl_inst|Add1~0_combout ;
+wire \vga_ctrl_inst|Add1~2_combout ;
+wire \vga_ctrl_inst|Add1~4_combout ;
+wire \vga_ctrl_inst|Add1~6_combout ;
+wire \vga_ctrl_inst|Add1~8_combout ;
+wire \vga_ctrl_inst|Add1~10_combout ;
+wire \vga_ctrl_inst|Add1~12_combout ;
+wire \vga_ctrl_inst|Add1~16_combout ;
+wire \vga_ctrl_inst|Equal0~0_combout ;
+wire \vga_ctrl_inst|cnt_v[8]~3_combout ;
+wire \vga_pic_inst|pix_data[4]~5_combout ;
+wire \vga_pic_inst|pix_data~8_combout ;
+wire \vga_pic_inst|pix_data~15_combout ;
+wire \vga_pic_inst|pix_data~17_combout ;
+wire \sys_clk~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ;
+wire \vga_ctrl_inst|Add0~0_combout ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ;
+wire \sys_rst_n~input_o ;
+wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ;
+wire \rst_n~0_combout ;
+wire \rst_n~0clkctrl_outclk ;
+wire \vga_ctrl_inst|Add0~1 ;
+wire \vga_ctrl_inst|Add0~3 ;
+wire \vga_ctrl_inst|Add0~5 ;
+wire \vga_ctrl_inst|Add0~6_combout ;
+wire \vga_ctrl_inst|Add0~7 ;
+wire \vga_ctrl_inst|Add0~8_combout ;
+wire \vga_ctrl_inst|Add0~9 ;
+wire \vga_ctrl_inst|Add0~11 ;
+wire \vga_ctrl_inst|Add0~12_combout ;
+wire \vga_ctrl_inst|Add0~13 ;
+wire \vga_ctrl_inst|Add0~14_combout ;
+wire \vga_ctrl_inst|Add0~15 ;
+wire \vga_ctrl_inst|Add0~16_combout ;
+wire \vga_ctrl_inst|Add0~17 ;
+wire \vga_ctrl_inst|Add0~18_combout ;
+wire \vga_ctrl_inst|cnt_h~1_combout ;
+wire \vga_ctrl_inst|Add0~10_combout ;
+wire \vga_ctrl_inst|cnt_h~0_combout ;
+wire \vga_ctrl_inst|Equal0~2_combout ;
+wire \vga_ctrl_inst|Add0~2_combout ;
+wire \vga_ctrl_inst|Equal0~1_combout ;
+wire \vga_ctrl_inst|Equal0~3_combout ;
+wire \vga_ctrl_inst|cnt_h~2_combout ;
+wire \vga_ctrl_inst|LessThan2~0_combout ;
+wire \vga_ctrl_inst|LessThan0~0_combout ;
+wire \vga_ctrl_inst|cnt_v[0]~9_combout ;
+wire \vga_ctrl_inst|cnt_v[2]~8_combout ;
+wire \vga_ctrl_inst|cnt_v[4]~6_combout ;
+wire \vga_ctrl_inst|always1~1_combout ;
+wire \vga_ctrl_inst|cnt_v[1]~0_combout ;
+wire \vga_ctrl_inst|always1~2_combout ;
+wire \vga_ctrl_inst|cnt_v[3]~7_combout ;
+wire \vga_ctrl_inst|LessThan6~0_combout ;
+wire \vga_ctrl_inst|cnt_v[5]~2_combout ;
+wire \vga_ctrl_inst|Add1~1 ;
+wire \vga_ctrl_inst|Add1~3 ;
+wire \vga_ctrl_inst|Add1~5 ;
+wire \vga_ctrl_inst|Add1~7 ;
+wire \vga_ctrl_inst|Add1~9 ;
+wire \vga_ctrl_inst|Add1~11 ;
+wire \vga_ctrl_inst|Add1~13 ;
+wire \vga_ctrl_inst|Add1~14_combout ;
+wire \vga_ctrl_inst|cnt_v[7]~4_combout ;
+wire \vga_ctrl_inst|Add1~15 ;
+wire \vga_ctrl_inst|Add1~17 ;
+wire \vga_ctrl_inst|Add1~18_combout ;
+wire \vga_ctrl_inst|cnt_v[9]~1_combout ;
+wire \vga_ctrl_inst|cnt_v[6]~5_combout ;
+wire \vga_ctrl_inst|always1~0_combout ;
+wire \vga_ctrl_inst|LessThan1~0_combout ;
+wire \vga_ctrl_inst|LessThan6~1_combout ;
+wire \vga_ctrl_inst|pix_data_req~1_combout ;
+wire \vga_ctrl_inst|pix_data_req~2_combout ;
+wire \vga_ctrl_inst|LessThan2~1_combout ;
+wire \vga_ctrl_inst|rgb_valid~0_combout ;
+wire \vga_ctrl_inst|Add2~1_cout ;
+wire \vga_ctrl_inst|Add2~3_cout ;
+wire \vga_ctrl_inst|Add2~5_cout ;
+wire \vga_ctrl_inst|Add2~7_cout ;
+wire \vga_ctrl_inst|Add2~9_cout ;
+wire \vga_ctrl_inst|Add2~11 ;
+wire \vga_ctrl_inst|Add2~12_combout ;
+wire \vga_ctrl_inst|Add2~10_combout ;
+wire \vga_pic_inst|LessThan14~0_combout ;
+wire \vga_ctrl_inst|Add2~13 ;
+wire \vga_ctrl_inst|Add2~15 ;
+wire \vga_ctrl_inst|Add2~16_combout ;
+wire \vga_ctrl_inst|Add2~14_combout ;
+wire \vga_pic_inst|LessThan6~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~0_combout ;
+wire \vga_ctrl_inst|LessThan4~0_combout ;
+wire \vga_ctrl_inst|pix_data_req~3_combout ;
+wire \vga_ctrl_inst|pix_data_req~4_combout ;
+wire \vga_pic_inst|pix_data~4_combout ;
+wire \vga_pic_inst|pix_data~9_combout ;
+wire \vga_pic_inst|LessThan17~0_combout ;
+wire \vga_pic_inst|pix_data~6_combout ;
+wire \vga_pic_inst|pix_data[4]~10_combout ;
+wire \vga_pic_inst|pix_data~11_combout ;
+wire \vga_pic_inst|pix_data~12_combout ;
+wire \vga_pic_inst|pix_data~13_combout ;
+wire \vga_ctrl_inst|rgb[0]~0_combout ;
+wire \vga_pic_inst|pix_data[4]~7_combout ;
+wire \vga_pic_inst|pix_data~16_combout ;
+wire \vga_ctrl_inst|rgb[1]~1_combout ;
+wire \vga_pic_inst|pix_data~25_combout ;
+wire \vga_ctrl_inst|rgb[5]~2_combout ;
+wire \vga_pic_inst|pix_data~18_combout ;
+wire \vga_pic_inst|pix_data~14_combout ;
+wire \vga_pic_inst|pix_data~26_combout ;
+wire \vga_pic_inst|pix_data~19_combout ;
+wire \vga_ctrl_inst|rgb[7]~3_combout ;
+wire \vga_pic_inst|LessThan2~2_combout ;
+wire \vga_pic_inst|pix_data~20_combout ;
+wire \vga_pic_inst|pix_data~21_combout ;
+wire \vga_ctrl_inst|rgb[10]~4_combout ;
+wire \vga_pic_inst|pix_data~22_combout ;
+wire \vga_pic_inst|pix_data~23_combout ;
+wire \vga_ctrl_inst|rgb[11]~5_combout ;
+wire \vga_pic_inst|pix_data~24_combout ;
+wire \vga_ctrl_inst|rgb[12]~6_combout ;
+wire [9:0] \vga_ctrl_inst|cnt_h ;
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ;
+wire [9:0] \vga_ctrl_inst|cnt_v ;
+wire [15:0] \vga_pic_inst|pix_data ;
+
+wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ;
+
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3];
+assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4];
+
+// Location: LCCOMB_X35_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC))
+// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~3 ),
+ .combout(\vga_ctrl_inst|Add0~4_combout ),
+ .cout(\vga_ctrl_inst|Add0~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: PLL_2
+cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 (
+ .areset(!\sys_rst_n~input_o ),
+ .pfdena(vcc),
+ .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .phaseupdown(gnd),
+ .phasestep(gnd),
+ .scandata(gnd),
+ .scanclk(gnd),
+ .scanclkena(vcc),
+ .configupdate(gnd),
+ .clkswitch(gnd),
+ .inclk({gnd,\sys_clk~input_o }),
+ .phasecounterselect(3'b000),
+ .phasedone(),
+ .scandataout(),
+ .scandone(),
+ .activeclock(),
+ .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .vcooverrange(),
+ .vcounderrange(),
+ .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ),
+ .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ),
+ .clkbad());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 12;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 3334;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 208;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2;
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC)
+// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0])
+
+ .dataa(\vga_ctrl_inst|cnt_v [0]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add1~0_combout ),
+ .cout(\vga_ctrl_inst|Add1~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h55AA;
+defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND)))
+// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~1 ),
+ .combout(\vga_ctrl_inst|Add1~2_combout ),
+ .cout(\vga_ctrl_inst|Add1~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC))
+// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~3 ),
+ .combout(\vga_ctrl_inst|Add1~4_combout ),
+ .cout(\vga_ctrl_inst|Add1~5 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND)))
+// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~5 ),
+ .combout(\vga_ctrl_inst|Add1~6_combout ),
+ .cout(\vga_ctrl_inst|Add1~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC))
+// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~7 ),
+ .combout(\vga_ctrl_inst|Add1~8_combout ),
+ .cout(\vga_ctrl_inst|Add1~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND)))
+// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [5]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~9 ),
+ .combout(\vga_ctrl_inst|Add1~10_combout ),
+ .cout(\vga_ctrl_inst|Add1~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC))
+// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [6]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~11 ),
+ .combout(\vga_ctrl_inst|Add1~12_combout ),
+ .cout(\vga_ctrl_inst|Add1~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N26
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC))
+// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 ))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~15 ),
+ .combout(\vga_ctrl_inst|Add1~16_combout ),
+ .cout(\vga_ctrl_inst|Add1~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N13
+dffeas \vga_ctrl_inst|cnt_v[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[8]~3_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [8] & \vga_ctrl_inst|cnt_h [9])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'hCC00;
+defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N13
+dffeas \vga_ctrl_inst|cnt_h[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~3 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[8]~3_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~16_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [8]))))
+
+ .dataa(\vga_ctrl_inst|Add1~16_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [8]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[8]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[8]~3 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[8]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~5 (
+// Equation(s):
+// \vga_pic_inst|pix_data[4]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~16_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~16_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[4]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4]~5 .lut_mask = 16'h00CC;
+defparam \vga_pic_inst|pix_data[4]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~8 (
+// Equation(s):
+// \vga_pic_inst|pix_data~8_combout = (\vga_ctrl_inst|Add2~16_combout ) # (((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )) # (!\vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(\vga_ctrl_inst|Add2~10_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~8 .lut_mask = 16'hFBFF;
+defparam \vga_pic_inst|pix_data~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N10
+cycloneive_lcell_comb \vga_pic_inst|pix_data~15 (
+// Equation(s):
+// \vga_pic_inst|pix_data~15_combout = (\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|pix_data~11_combout & ((!\vga_pic_inst|pix_data[4]~10_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data~14_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~11_combout ),
+ .datab(\vga_pic_inst|pix_data~14_combout ),
+ .datac(\vga_pic_inst|pix_data[4]~10_combout ),
+ .datad(\vga_pic_inst|pix_data[4]~5_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~15_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~15 .lut_mask = 16'h0ACC;
+defparam \vga_pic_inst|pix_data~15 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~17 (
+// Equation(s):
+// \vga_pic_inst|pix_data~17_combout = (\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~14_combout )) # (!\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|Add2~14_combout & !\vga_ctrl_inst|Add2~10_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~17_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0C3C;
+defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y15_N22
+cycloneive_io_ibuf \sys_clk~input (
+ .i(sys_clk),
+ .ibar(gnd),
+ .o(\sys_clk~input_o ));
+// synopsys translate_off
+defparam \sys_clk~input .bus_hold = "false";
+defparam \sys_clk~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: CLKCTRL_G8
+cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock";
+defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N30
+cycloneive_io_obuf \hsync~output (
+ .i(!\vga_ctrl_inst|LessThan0~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(hsync),
+ .obar());
+// synopsys translate_off
+defparam \hsync~output .bus_hold = "false";
+defparam \hsync~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y0_N2
+cycloneive_io_obuf \vsync~output (
+ .i(!\vga_ctrl_inst|LessThan1~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(vsync),
+ .obar());
+// synopsys translate_off
+defparam \vsync~output .bus_hold = "false";
+defparam \vsync~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y0_N2
+cycloneive_io_obuf \rgb[0]~output (
+ .i(\vga_ctrl_inst|rgb[0]~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[0]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[0]~output .bus_hold = "false";
+defparam \rgb[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N23
+cycloneive_io_obuf \rgb[1]~output (
+ .i(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[1]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[1]~output .bus_hold = "false";
+defparam \rgb[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N16
+cycloneive_io_obuf \rgb[2]~output (
+ .i(\vga_ctrl_inst|rgb[0]~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[2]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[2]~output .bus_hold = "false";
+defparam \rgb[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y4_N9
+cycloneive_io_obuf \rgb[3]~output (
+ .i(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[3]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[3]~output .bus_hold = "false";
+defparam \rgb[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y3_N9
+cycloneive_io_obuf \rgb[4]~output (
+ .i(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[4]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[4]~output .bus_hold = "false";
+defparam \rgb[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y3_N16
+cycloneive_io_obuf \rgb[5]~output (
+ .i(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[5]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[5]~output .bus_hold = "false";
+defparam \rgb[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y8_N2
+cycloneive_io_obuf \rgb[6]~output (
+ .i(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[6]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[6]~output .bus_hold = "false";
+defparam \rgb[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y8_N9
+cycloneive_io_obuf \rgb[7]~output (
+ .i(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[7]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[7]~output .bus_hold = "false";
+defparam \rgb[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y12_N16
+cycloneive_io_obuf \rgb[8]~output (
+ .i(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[8]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[8]~output .bus_hold = "false";
+defparam \rgb[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N9
+cycloneive_io_obuf \rgb[9]~output (
+ .i(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[9]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[9]~output .bus_hold = "false";
+defparam \rgb[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y14_N23
+cycloneive_io_obuf \rgb[10]~output (
+ .i(\vga_ctrl_inst|rgb[10]~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[10]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[10]~output .bus_hold = "false";
+defparam \rgb[10]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N2
+cycloneive_io_obuf \rgb[11]~output (
+ .i(\vga_ctrl_inst|rgb[11]~5_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[11]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[11]~output .bus_hold = "false";
+defparam \rgb[11]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y18_N16
+cycloneive_io_obuf \rgb[12]~output (
+ .i(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[12]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[12]~output .bus_hold = "false";
+defparam \rgb[12]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y18_N23
+cycloneive_io_obuf \rgb[13]~output (
+ .i(\vga_ctrl_inst|rgb[11]~5_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[13]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[13]~output .bus_hold = "false";
+defparam \rgb[13]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y19_N9
+cycloneive_io_obuf \rgb[14]~output (
+ .i(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[14]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[14]~output .bus_hold = "false";
+defparam \rgb[14]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y20_N23
+cycloneive_io_obuf \rgb[15]~output (
+ .i(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(rgb[15]),
+ .obar());
+// synopsys translate_off
+defparam \rgb[15]~output .bus_hold = "false";
+defparam \rgb[15]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC)
+// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Add0~0_combout ),
+ .cout(\vga_ctrl_inst|Add0~1 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC;
+defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y3_N0
+cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder (
+// Equation(s):
+// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .cout());
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF;
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y4_N1
+cycloneive_io_ibuf \sys_rst_n~input (
+ .i(sys_rst_n),
+ .ibar(gnd),
+ .o(\sys_rst_n~input_o ));
+// synopsys translate_off
+defparam \sys_rst_n~input .bus_hold = "false";
+defparam \sys_rst_n~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: FF_X35_Y3_N1
+dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ),
+ .asdata(vcc),
+ .clrn(\sys_rst_n~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true";
+defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y3_N10
+cycloneive_lcell_comb \rst_n~0 (
+// Equation(s):
+// \rst_n~0_combout = ((!\sys_rst_n~input_o ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked )
+
+ .dataa(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ),
+ .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ),
+ .datac(\sys_rst_n~input_o ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\rst_n~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \rst_n~0 .lut_mask = 16'h7F7F;
+defparam \rst_n~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: CLKCTRL_G16
+cycloneive_clkctrl \rst_n~0clkctrl (
+ .ena(vcc),
+ .inclk({vcc,vcc,vcc,\rst_n~0_combout }),
+ .clkselect(2'b00),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .outclk(\rst_n~0clkctrl_outclk ));
+// synopsys translate_off
+defparam \rst_n~0clkctrl .clock_type = "global clock";
+defparam \rst_n~0clkctrl .ena_register_mode = "none";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N9
+dffeas \vga_ctrl_inst|cnt_h[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND)))
+// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [1]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~1 ),
+ .combout(\vga_ctrl_inst|Add0~2_combout ),
+ .cout(\vga_ctrl_inst|Add0~3 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND)))
+// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [3]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~5 ),
+ .combout(\vga_ctrl_inst|Add0~6_combout ),
+ .cout(\vga_ctrl_inst|Add0~7 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N15
+dffeas \vga_ctrl_inst|cnt_h[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC))
+// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [4]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~7 ),
+ .combout(\vga_ctrl_inst|Add0~8_combout ),
+ .cout(\vga_ctrl_inst|Add0~9 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N17
+dffeas \vga_ctrl_inst|cnt_h[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND)))
+// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~9 ),
+ .combout(\vga_ctrl_inst|Add0~10_combout ),
+ .cout(\vga_ctrl_inst|Add0~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC))
+// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~11 ),
+ .combout(\vga_ctrl_inst|Add0~12_combout ),
+ .cout(\vga_ctrl_inst|Add0~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N21
+dffeas \vga_ctrl_inst|cnt_h[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~12_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND)))
+// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~13 ),
+ .combout(\vga_ctrl_inst|Add0~14_combout ),
+ .cout(\vga_ctrl_inst|Add0~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F;
+defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N23
+dffeas \vga_ctrl_inst|cnt_h[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~14_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC))
+// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add0~15 ),
+ .combout(\vga_ctrl_inst|Add0~16_combout ),
+ .cout(\vga_ctrl_inst|Add0~17 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C;
+defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add0~18_combout = \vga_ctrl_inst|Add0~17 $ (\vga_ctrl_inst|cnt_h [9])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(\vga_ctrl_inst|Add0~17 ),
+ .combout(\vga_ctrl_inst|Add0~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h0FF0;
+defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~1_combout = (!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|Add0~18_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|Add0~18_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h3030;
+defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N1
+dffeas \vga_ctrl_inst|cnt_h[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & !\vga_ctrl_inst|Equal0~3_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add0~10_combout ),
+ .datac(\vga_ctrl_inst|Equal0~3_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h0C0C;
+defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y23_N25
+dffeas \vga_ctrl_inst|cnt_h[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|cnt_h [5] & !\vga_ctrl_inst|cnt_h [6])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(\vga_ctrl_inst|cnt_h [9]),
+ .datac(\vga_ctrl_inst|cnt_h [5]),
+ .datad(\vga_ctrl_inst|cnt_h [6]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0008;
+defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N11
+dffeas \vga_ctrl_inst|cnt_h[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|Add0~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~1_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [1])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(\vga_ctrl_inst|cnt_h [3]),
+ .datac(\vga_ctrl_inst|cnt_h [0]),
+ .datad(\vga_ctrl_inst|cnt_h [1]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h8000;
+defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 (
+// Equation(s):
+// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Equal0~2_combout & (\vga_ctrl_inst|Equal0~1_combout & !\vga_ctrl_inst|cnt_h [7])))
+
+ .dataa(\vga_ctrl_inst|cnt_h [4]),
+ .datab(\vga_ctrl_inst|Equal0~2_combout ),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [7]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|Equal0~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'h0080;
+defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & !\vga_ctrl_inst|Equal0~3_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add0~16_combout ),
+ .datac(\vga_ctrl_inst|Equal0~3_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_h~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h0C0C;
+defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X35_Y23_N3
+dffeas \vga_ctrl_inst|cnt_h[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_h~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_h [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan2~0_combout = (!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|cnt_h [9])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [8]),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_h [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan2~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan2~0 .lut_mask = 16'h0033;
+defparam \vga_ctrl_inst|LessThan2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # (((\vga_ctrl_inst|cnt_h [6] & \vga_ctrl_inst|cnt_h [5])) # (!\vga_ctrl_inst|LessThan2~0_combout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(\vga_ctrl_inst|LessThan2~0_combout ),
+ .datad(\vga_ctrl_inst|cnt_h [5]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan0~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hEFAF;
+defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~9 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[0]~9_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~0_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [0]))))
+
+ .dataa(\vga_ctrl_inst|Add1~0_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [0]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[0]~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0]~9 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[0]~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N29
+dffeas \vga_ctrl_inst|cnt_v[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[0]~9_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~8 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[2]~8_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~4_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [2]))))
+
+ .dataa(\vga_ctrl_inst|Add1~4_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[2]~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2]~8 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[2]~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N5
+dffeas \vga_ctrl_inst|cnt_v[2] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[2]~8_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~6 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[4]~6_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~8_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [4]))))
+
+ .dataa(\vga_ctrl_inst|Add1~8_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [4]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[4]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4]~6 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[4]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N1
+dffeas \vga_ctrl_inst|cnt_v[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[4]~6_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|always1~1 (
+// Equation(s):
+// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|cnt_v [9] & (\vga_ctrl_inst|cnt_v [3] & (\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [9]),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|cnt_v [4]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0080;
+defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~0 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[1]~0_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~2_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [1]))))
+
+ .dataa(\vga_ctrl_inst|Add1~2_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [1]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[1]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1]~0 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[1]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N17
+dffeas \vga_ctrl_inst|cnt_v[1] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[1]~0_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|always1~2 (
+// Equation(s):
+// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [0] & (\vga_ctrl_inst|always1~1_combout & !\vga_ctrl_inst|cnt_v [1])))
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_v [0]),
+ .datac(\vga_ctrl_inst|always1~1_combout ),
+ .datad(\vga_ctrl_inst|cnt_v [1]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0020;
+defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~7 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[3]~7_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~6_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [3]))))
+
+ .dataa(\vga_ctrl_inst|Add1~6_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [3]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[3]~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3]~7 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[3]~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N3
+dffeas \vga_ctrl_inst|cnt_v[3] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[3]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|cnt_v [4]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [3]),
+ .datac(\vga_ctrl_inst|cnt_v [2]),
+ .datad(\vga_ctrl_inst|cnt_v [4]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~2 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[5]~2_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~10_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [5]))))
+
+ .dataa(\vga_ctrl_inst|Add1~10_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [5]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[5]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5]~2 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[5]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y23_N19
+dffeas \vga_ctrl_inst|cnt_v[5] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[5]~2_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N24
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND)))
+// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [7]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add1~13 ),
+ .combout(\vga_ctrl_inst|Add1~14_combout ),
+ .cout(\vga_ctrl_inst|Add1~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h3C3F;
+defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N2
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~4 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[7]~4_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~14_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [7]))))
+
+ .dataa(\vga_ctrl_inst|always1~2_combout ),
+ .datab(\vga_ctrl_inst|Add1~14_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[7]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7]~4 .lut_mask = 16'h44F0;
+defparam \vga_ctrl_inst|cnt_v[7]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y24_N3
+dffeas \vga_ctrl_inst|cnt_v[7] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[7]~4_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N28
+cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 (
+// Equation(s):
+// \vga_ctrl_inst|Add1~18_combout = \vga_ctrl_inst|Add1~17 $ (\vga_ctrl_inst|cnt_v [9])
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_v [9]),
+ .cin(\vga_ctrl_inst|Add1~17 ),
+ .combout(\vga_ctrl_inst|Add1~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h0FF0;
+defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N0
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~1 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[9]~1_combout = (\vga_ctrl_inst|Equal0~3_combout & (!\vga_ctrl_inst|always1~2_combout & (\vga_ctrl_inst|Add1~18_combout ))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [9]))))
+
+ .dataa(\vga_ctrl_inst|always1~2_combout ),
+ .datab(\vga_ctrl_inst|Add1~18_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|Equal0~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[9]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9]~1 .lut_mask = 16'h44F0;
+defparam \vga_ctrl_inst|cnt_v[9]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y24_N1
+dffeas \vga_ctrl_inst|cnt_v[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[9]~1_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N4
+cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~5 (
+// Equation(s):
+// \vga_ctrl_inst|cnt_v[6]~5_combout = (\vga_ctrl_inst|Equal0~3_combout & (\vga_ctrl_inst|Add1~12_combout & ((!\vga_ctrl_inst|always1~2_combout )))) # (!\vga_ctrl_inst|Equal0~3_combout & (((\vga_ctrl_inst|cnt_v [6]))))
+
+ .dataa(\vga_ctrl_inst|Add1~12_combout ),
+ .datab(\vga_ctrl_inst|Equal0~3_combout ),
+ .datac(\vga_ctrl_inst|cnt_v [6]),
+ .datad(\vga_ctrl_inst|always1~2_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|cnt_v[6]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6]~5 .lut_mask = 16'h30B8;
+defparam \vga_ctrl_inst|cnt_v[6]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X33_Y24_N5
+dffeas \vga_ctrl_inst|cnt_v[6] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_ctrl_inst|cnt_v[6]~5_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_ctrl_inst|cnt_v [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true";
+defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|always1~0 (
+// Equation(s):
+// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(\vga_ctrl_inst|cnt_v [5]),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|cnt_v [6]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|always1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan1~0_combout = ((\vga_ctrl_inst|cnt_v [1]) # ((\vga_ctrl_inst|cnt_v [9]) # (!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|LessThan6~0_combout )
+
+ .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_v [1]),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan1~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'hFDFF;
+defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~1 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan6~1_combout = (!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0])
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_v [0]),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|cnt_v [1]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan6~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan6~1 .lut_mask = 16'h33FF;
+defparam \vga_ctrl_inst|LessThan6~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y24_N30
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~1_combout = (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|cnt_v [6])))
+
+ .dataa(\vga_ctrl_inst|cnt_v [8]),
+ .datab(\vga_ctrl_inst|cnt_v [9]),
+ .datac(\vga_ctrl_inst|cnt_v [7]),
+ .datad(\vga_ctrl_inst|cnt_v [6]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h0001;
+defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N24
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~2_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|LessThan6~1_combout & (\vga_ctrl_inst|pix_data_req~1_combout )) # (!\vga_ctrl_inst|LessThan6~1_combout & ((\vga_ctrl_inst|always1~0_combout ))))) #
+// (!\vga_ctrl_inst|LessThan6~0_combout & (((\vga_ctrl_inst|always1~0_combout ))))
+
+ .dataa(\vga_ctrl_inst|LessThan6~0_combout ),
+ .datab(\vga_ctrl_inst|LessThan6~1_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~1_combout ),
+ .datad(\vga_ctrl_inst|always1~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'hF780;
+defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N28
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan2~1 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan2~1_combout = (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [5]))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(\vga_ctrl_inst|cnt_h [4]),
+ .datad(\vga_ctrl_inst|cnt_h [5]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan2~1 .lut_mask = 16'h0003;
+defparam \vga_ctrl_inst|LessThan2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|rgb_valid~0 (
+// Equation(s):
+// \vga_ctrl_inst|rgb_valid~0_combout = (\vga_ctrl_inst|Equal0~0_combout & (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|LessThan2~0_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout & (((\vga_ctrl_inst|cnt_h [7] &
+// !\vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|LessThan2~0_combout )))
+
+ .dataa(\vga_ctrl_inst|Equal0~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|LessThan2~0_combout ),
+ .datad(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb_valid~0 .lut_mask = 16'h0745;
+defparam \vga_ctrl_inst|rgb_valid~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [1]),
+ .datab(\vga_ctrl_inst|cnt_h [0]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~1_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088;
+defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N8
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2]))
+
+ .dataa(\vga_ctrl_inst|cnt_h [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~1_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~3_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F;
+defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~3_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~5_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A;
+defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N12
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~5_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~7_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005;
+defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N14
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~7_cout ),
+ .combout(),
+ .cout(\vga_ctrl_inst|Add2~9_cout ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00AF;
+defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout ))
+// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|cnt_h [6]),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~9_cout ),
+ .combout(\vga_ctrl_inst|Add2~10_combout ),
+ .cout(\vga_ctrl_inst|Add2~11 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303;
+defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N18
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC))
+// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [7]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~11 ),
+ .combout(\vga_ctrl_inst|Add2~12_combout ),
+ .cout(\vga_ctrl_inst|Add2~13 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hA50A;
+defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N24
+cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan14~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hCC00;
+defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 ))
+// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 ))
+
+ .dataa(\vga_ctrl_inst|cnt_h [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\vga_ctrl_inst|Add2~13 ),
+ .combout(\vga_ctrl_inst|Add2~14_combout ),
+ .cout(\vga_ctrl_inst|Add2~15 ));
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505;
+defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 (
+// Equation(s):
+// \vga_ctrl_inst|Add2~16_combout = \vga_ctrl_inst|cnt_h [9] $ (\vga_ctrl_inst|Add2~15 )
+
+ .dataa(\vga_ctrl_inst|cnt_h [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\vga_ctrl_inst|Add2~15 ),
+ .combout(\vga_ctrl_inst|Add2~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5A5A;
+defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N2
+cycloneive_lcell_comb \vga_pic_inst|LessThan6~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan6~0_combout = ((\vga_pic_inst|LessThan14~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_ctrl_inst|Add2~14_combout ))) # (!\vga_ctrl_inst|pix_data_req~4_combout )
+
+ .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datab(\vga_pic_inst|LessThan14~0_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_ctrl_inst|Add2~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan6~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan6~0 .lut_mask = 16'hFFFD;
+defparam \vga_pic_inst|LessThan6~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|always1~0_combout & \vga_ctrl_inst|cnt_v [9])
+
+ .dataa(\vga_ctrl_inst|always1~0_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|cnt_v [9]),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h5050;
+defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N4
+cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 (
+// Equation(s):
+// \vga_ctrl_inst|LessThan4~0_combout = (\vga_ctrl_inst|LessThan2~0_combout & (((!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout )) # (!\vga_ctrl_inst|cnt_h [7])))
+
+ .dataa(\vga_ctrl_inst|Equal0~1_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|LessThan2~0_combout ),
+ .datad(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|LessThan4~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h7030;
+defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N10
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~3_combout = ((!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~1_combout & \vga_ctrl_inst|LessThan2~1_combout ))) # (!\vga_ctrl_inst|Equal0~0_combout )
+
+ .dataa(\vga_ctrl_inst|Equal0~0_combout ),
+ .datab(\vga_ctrl_inst|cnt_h [7]),
+ .datac(\vga_ctrl_inst|Equal0~1_combout ),
+ .datad(\vga_ctrl_inst|LessThan2~1_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'h5755;
+defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N22
+cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 (
+// Equation(s):
+// \vga_ctrl_inst|pix_data_req~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (!\vga_ctrl_inst|LessThan4~0_combout & \vga_ctrl_inst|pix_data_req~3_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|LessThan4~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~3_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'h0100;
+defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N2
+cycloneive_lcell_comb \vga_pic_inst|pix_data~4 (
+// Equation(s):
+// \vga_pic_inst|pix_data~4_combout = (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout )
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~4 .lut_mask = 16'h00CC;
+defparam \vga_pic_inst|pix_data~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N4
+cycloneive_lcell_comb \vga_pic_inst|pix_data~9 (
+// Equation(s):
+// \vga_pic_inst|pix_data~9_combout = (\vga_pic_inst|pix_data~8_combout & ((\vga_pic_inst|LessThan6~0_combout ) # ((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data~8_combout &
+// (((!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~8_combout ),
+ .datab(\vga_pic_inst|LessThan6~0_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_pic_inst|pix_data~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~9 .lut_mask = 16'h8F88;
+defparam \vga_pic_inst|pix_data~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N8
+cycloneive_lcell_comb \vga_pic_inst|LessThan17~0 (
+// Equation(s):
+// \vga_pic_inst|LessThan17~0_combout = (\vga_ctrl_inst|Add2~12_combout ) # ((\vga_ctrl_inst|Add2~10_combout ) # ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~10_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan17~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan17~0 .lut_mask = 16'hFEFF;
+defparam \vga_pic_inst|LessThan17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N14
+cycloneive_lcell_comb \vga_pic_inst|pix_data~6 (
+// Equation(s):
+// \vga_pic_inst|pix_data~6_combout = ((\vga_pic_inst|LessThan17~0_combout & ((\vga_ctrl_inst|Add2~14_combout ) # (!\vga_pic_inst|pix_data~4_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout )
+
+ .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
+ .datab(\vga_pic_inst|pix_data~4_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_pic_inst|LessThan17~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~6 .lut_mask = 16'hF755;
+defparam \vga_pic_inst|pix_data~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N22
+cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~10 (
+// Equation(s):
+// \vga_pic_inst|pix_data[4]~10_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[4]~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4]~10 .lut_mask = 16'h0FFF;
+defparam \vga_pic_inst|pix_data[4]~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N4
+cycloneive_lcell_comb \vga_pic_inst|pix_data~11 (
+// Equation(s):
+// \vga_pic_inst|pix_data~11_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~10_combout )))
+
+ .dataa(\vga_ctrl_inst|Add2~14_combout ),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~11_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~11 .lut_mask = 16'h0080;
+defparam \vga_pic_inst|pix_data~11 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N24
+cycloneive_lcell_comb \vga_pic_inst|pix_data~12 (
+// Equation(s):
+// \vga_pic_inst|pix_data~12_combout = (\vga_pic_inst|pix_data[4]~5_combout & (((\vga_pic_inst|pix_data[4]~10_combout ) # (!\vga_pic_inst|pix_data~11_combout )))) # (!\vga_pic_inst|pix_data[4]~5_combout & (\vga_pic_inst|LessThan17~0_combout ))
+
+ .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
+ .datab(\vga_pic_inst|LessThan17~0_combout ),
+ .datac(\vga_pic_inst|pix_data[4]~10_combout ),
+ .datad(\vga_pic_inst|pix_data~11_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'hE4EE;
+defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N16
+cycloneive_lcell_comb \vga_pic_inst|pix_data~13 (
+// Equation(s):
+// \vga_pic_inst|pix_data~13_combout = ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout ))) # (!\vga_pic_inst|pix_data~12_combout )
+
+ .dataa(\vga_pic_inst|pix_data[4]~7_combout ),
+ .datab(\vga_pic_inst|pix_data~9_combout ),
+ .datac(\vga_pic_inst|pix_data~6_combout ),
+ .datad(\vga_pic_inst|pix_data~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~13_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'h80FF;
+defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N17
+dffeas \vga_pic_inst|pix_data[0] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~13_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N0
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[0]~0 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[0]~0_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_ctrl_inst|rgb_valid~0_combout & (\vga_pic_inst|pix_data [0] & !\vga_ctrl_inst|pix_data_req~0_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datac(\vga_pic_inst|pix_data [0]),
+ .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[0]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[0]~0 .lut_mask = 16'h0040;
+defparam \vga_ctrl_inst|rgb[0]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data[4]~7 (
+// Equation(s):
+// \vga_pic_inst|pix_data[4]~7_combout = (!\vga_ctrl_inst|Add2~16_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_ctrl_inst|Add2~14_combout ) # (!\vga_ctrl_inst|Add2~12_combout ))))
+
+ .dataa(\vga_ctrl_inst|Add2~12_combout ),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data[4]~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4]~7 .lut_mask = 16'h0700;
+defparam \vga_pic_inst|pix_data[4]~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N18
+cycloneive_lcell_comb \vga_pic_inst|pix_data~16 (
+// Equation(s):
+// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & (\vga_pic_inst|pix_data~9_combout & \vga_pic_inst|pix_data~6_combout )))
+
+ .dataa(\vga_pic_inst|pix_data~15_combout ),
+ .datab(\vga_pic_inst|pix_data[4]~7_combout ),
+ .datac(\vga_pic_inst|pix_data~9_combout ),
+ .datad(\vga_pic_inst|pix_data~6_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'hEAAA;
+defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N19
+dffeas \vga_pic_inst|pix_data[4] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~16_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N26
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~1 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[1]~1_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [4])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [4]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[1]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[1]~1 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[1]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data~25 (
+// Equation(s):
+// \vga_pic_inst|pix_data~25_combout = (\vga_ctrl_inst|Add2~16_combout & (((!\vga_pic_inst|LessThan17~0_combout )))) # (!\vga_ctrl_inst|Add2~16_combout & ((\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data~17_combout )) #
+// (!\vga_ctrl_inst|pix_data_req~4_combout & ((!\vga_pic_inst|LessThan17~0_combout )))))
+
+ .dataa(\vga_pic_inst|pix_data~17_combout ),
+ .datab(\vga_ctrl_inst|Add2~16_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_pic_inst|LessThan17~0_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~25_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h20EF;
+defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y23_N13
+dffeas \vga_pic_inst|pix_data[8] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~25_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N30
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[5]~2 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[5]~2_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [8])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [8]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[5]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[5]~2 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[5]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~18 (
+// Equation(s):
+// \vga_pic_inst|pix_data~18_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~12_combout & !\vga_ctrl_inst|Add2~10_combout )) # (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~12_combout
+// ))))
+
+ .dataa(\vga_ctrl_inst|Add2~14_combout ),
+ .datab(\vga_ctrl_inst|Add2~12_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_ctrl_inst|Add2~10_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h4060;
+defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~14 (
+// Equation(s):
+// \vga_pic_inst|pix_data~14_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|pix_data_req~4_combout & !\vga_ctrl_inst|Add2~12_combout ))
+
+ .dataa(gnd),
+ .datab(\vga_ctrl_inst|Add2~14_combout ),
+ .datac(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datad(\vga_ctrl_inst|Add2~12_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~14 .lut_mask = 16'h0030;
+defparam \vga_pic_inst|pix_data~14 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y23_N30
+cycloneive_lcell_comb \vga_pic_inst|pix_data~26 (
+// Equation(s):
+// \vga_pic_inst|pix_data~26_combout = (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|pix_data~14_combout ))) # (!\vga_ctrl_inst|Add2~16_combout & (\vga_pic_inst|pix_data~18_combout )))) #
+// (!\vga_ctrl_inst|pix_data_req~4_combout & (((\vga_pic_inst|pix_data~14_combout ))))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .datab(\vga_pic_inst|pix_data~18_combout ),
+ .datac(\vga_ctrl_inst|Add2~16_combout ),
+ .datad(\vga_pic_inst|pix_data~14_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~26_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hFD08;
+defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y24_N0
+cycloneive_lcell_comb \vga_pic_inst|pix_data~19 (
+// Equation(s):
+// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|pix_data~26_combout & \vga_pic_inst|pix_data~6_combout )
+
+ .dataa(gnd),
+ .datab(\vga_pic_inst|pix_data~26_combout ),
+ .datac(gnd),
+ .datad(\vga_pic_inst|pix_data~6_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~19_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCC00;
+defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y24_N1
+dffeas \vga_pic_inst|pix_data[9] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~19_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N16
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[7]~3_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [9])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [9]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[7]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N6
+cycloneive_lcell_comb \vga_pic_inst|LessThan2~2 (
+// Equation(s):
+// \vga_pic_inst|LessThan2~2_combout = (\vga_pic_inst|LessThan17~0_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (!\vga_ctrl_inst|pix_data_req~4_combout ))
+
+ .dataa(\vga_pic_inst|LessThan17~0_combout ),
+ .datab(\vga_ctrl_inst|Add2~16_combout ),
+ .datac(gnd),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|LessThan2~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|LessThan2~2 .lut_mask = 16'hEEFF;
+defparam \vga_pic_inst|LessThan2~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y24_N12
+cycloneive_lcell_comb \vga_pic_inst|pix_data~20 (
+// Equation(s):
+// \vga_pic_inst|pix_data~20_combout = (!\vga_ctrl_inst|Add2~16_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|pix_data_req~4_combout ))
+
+ .dataa(\vga_ctrl_inst|Add2~16_combout ),
+ .datab(gnd),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h0500;
+defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y24_N26
+cycloneive_lcell_comb \vga_pic_inst|pix_data~21 (
+// Equation(s):
+// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|LessThan2~2_combout & ((\vga_pic_inst|pix_data~26_combout ) # ((\vga_pic_inst|pix_data~4_combout & \vga_pic_inst|pix_data~20_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~4_combout ),
+ .datab(\vga_pic_inst|pix_data~26_combout ),
+ .datac(\vga_pic_inst|LessThan2~2_combout ),
+ .datad(\vga_pic_inst|pix_data~20_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~21_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'hE0C0;
+defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X37_Y24_N27
+dffeas \vga_pic_inst|pix_data[10] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~21_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N2
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~4 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[10]~4_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (!\vga_ctrl_inst|pix_data_req~0_combout & (\vga_ctrl_inst|rgb_valid~0_combout & \vga_pic_inst|pix_data [10])))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_pic_inst|pix_data [10]),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[10]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[10]~4 .lut_mask = 16'h1000;
+defparam \vga_ctrl_inst|rgb[10]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N20
+cycloneive_lcell_comb \vga_pic_inst|pix_data~22 (
+// Equation(s):
+// \vga_pic_inst|pix_data~22_combout = ((\vga_pic_inst|pix_data[4]~5_combout & (!\vga_ctrl_inst|Add2~14_combout & \vga_pic_inst|pix_data~4_combout ))) # (!\vga_pic_inst|LessThan6~0_combout )
+
+ .dataa(\vga_pic_inst|pix_data[4]~5_combout ),
+ .datab(\vga_pic_inst|LessThan6~0_combout ),
+ .datac(\vga_ctrl_inst|Add2~14_combout ),
+ .datad(\vga_pic_inst|pix_data~4_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h3B33;
+defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N28
+cycloneive_lcell_comb \vga_pic_inst|pix_data~23 (
+// Equation(s):
+// \vga_pic_inst|pix_data~23_combout = ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout )))) # (!\vga_pic_inst|pix_data~12_combout )
+
+ .dataa(\vga_pic_inst|LessThan2~2_combout ),
+ .datab(\vga_pic_inst|pix_data~12_combout ),
+ .datac(\vga_pic_inst|pix_data~22_combout ),
+ .datad(\vga_pic_inst|pix_data[4]~7_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~23_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'hF733;
+defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N29
+dffeas \vga_pic_inst|pix_data[13] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~23_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N20
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[11]~5 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[11]~5_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [13] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_pic_inst|pix_data [13]),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[11]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[11]~5 .lut_mask = 16'h0040;
+defparam \vga_ctrl_inst|rgb[11]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y24_N30
+cycloneive_lcell_comb \vga_pic_inst|pix_data~24 (
+// Equation(s):
+// \vga_pic_inst|pix_data~24_combout = (\vga_pic_inst|pix_data~15_combout ) # ((\vga_pic_inst|pix_data[4]~7_combout & ((\vga_pic_inst|pix_data~22_combout ) # (!\vga_pic_inst|LessThan2~2_combout ))))
+
+ .dataa(\vga_pic_inst|pix_data~15_combout ),
+ .datab(\vga_pic_inst|pix_data[4]~7_combout ),
+ .datac(\vga_pic_inst|pix_data~22_combout ),
+ .datad(\vga_pic_inst|LessThan2~2_combout ),
+ .cin(gnd),
+ .combout(\vga_pic_inst|pix_data~24_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data~24 .lut_mask = 16'hEAEE;
+defparam \vga_pic_inst|pix_data~24 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: FF_X36_Y24_N31
+dffeas \vga_pic_inst|pix_data[15] (
+ .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ),
+ .d(\vga_pic_inst|pix_data~24_combout ),
+ .asdata(vcc),
+ .clrn(!\rst_n~0clkctrl_outclk ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\vga_pic_inst|pix_data [15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true";
+defparam \vga_pic_inst|pix_data[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LCCOMB_X37_Y23_N6
+cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~6 (
+// Equation(s):
+// \vga_ctrl_inst|rgb[12]~6_combout = (!\vga_ctrl_inst|pix_data_req~2_combout & (\vga_pic_inst|pix_data [15] & (\vga_ctrl_inst|rgb_valid~0_combout & !\vga_ctrl_inst|pix_data_req~0_combout )))
+
+ .dataa(\vga_ctrl_inst|pix_data_req~2_combout ),
+ .datab(\vga_pic_inst|pix_data [15]),
+ .datac(\vga_ctrl_inst|rgb_valid~0_combout ),
+ .datad(\vga_ctrl_inst|pix_data_req~0_combout ),
+ .cin(gnd),
+ .combout(\vga_ctrl_inst|rgb[12]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \vga_ctrl_inst|rgb[12]~6 .lut_mask = 16'h0040;
+defparam \vga_ctrl_inst|rgb[12]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+endmodule
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_v_fast.sdo b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_v_fast.sdo
index 3c10c0b..337b1b4 100644
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_v_fast.sdo
+++ b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_min_1200mv_0c_v_fast.sdo
@@ -1,2108 +1,2108 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This file contains Fast Corner delays for the design using part EP4CE15F23C8,
-// with speed grade M, core voltage 1.2V, and temperature 0 Celsius
-//
-
-//
-// This SDF file should be used for ModelSim (Verilog) only
-//
-
-(DELAYFILE
- (SDFVERSION "2.1")
- (DESIGN "vga_colorbar")
- (DATE "06/02/2023 04:42:20")
- (VENDOR "Altera")
- (PROGRAM "Quartus II 64-Bit")
- (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version")
- (DIVIDER .)
- (TIMESCALE 1 ps)
-
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (147:147:147) (199:199:199))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_pll")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
- (DELAY
- (ABSOLUTE
- (PORT areset (2024:2024:2024) (2024:2024:2024))
- (PORT inclk[0] (1104:1104:1104) (1104:1104:1104))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (367:367:367) (451:451:451))
- (IOPATH dataa combout (186:186:186) (180:180:180))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (371:371:371) (445:445:445))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (372:372:372) (445:445:445))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (381:381:381) (460:460:460))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (214:214:214) (270:270:270))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~10)
- (DELAY
- (ABSOLUTE
- (PORT datab (366:366:366) (442:442:442))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (209:209:209) (275:275:275))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (371:371:371) (454:454:454))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (854:854:854) (857:857:857))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (162:162:162) (213:213:213))
- (PORT datad (139:139:139) (183:183:183))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (871:871:871) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (855:855:855) (858:858:858))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (321:321:321) (377:377:377))
- (PORT datab (336:336:336) (392:392:392))
- (PORT datad (128:128:128) (157:157:157))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[4\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT datab (474:474:474) (551:551:551))
- (PORT datad (354:354:354) (415:415:415))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (444:444:444) (512:512:512))
- (PORT datab (363:363:363) (428:428:428))
- (PORT datac (376:376:376) (445:445:445))
- (PORT datad (456:456:456) (524:524:524))
- (IOPATH dataa combout (158:158:158) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (322:322:322) (383:383:383))
- (PORT datab (188:188:188) (225:225:225))
- (PORT datac (95:95:95) (119:119:119))
- (PORT datad (105:105:105) (128:128:128))
- (IOPATH dataa combout (165:165:165) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~17)
- (DELAY
- (ABSOLUTE
- (PORT datab (135:135:135) (170:170:170))
- (PORT datac (305:305:305) (361:361:361))
- (PORT datad (115:115:115) (138:138:138))
- (IOPATH datab combout (196:196:196) (205:205:205))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_clk\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (358:358:358) (738:738:738))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (1120:1120:1120) (1119:1119:1119))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE hsync\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1064:1064:1064) (938:938:938))
- (IOPATH i o (1647:1647:1647) (1667:1667:1667))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE vsync\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (913:913:913) (809:809:809))
- (IOPATH i o (1657:1657:1657) (1677:1677:1677))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[0\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1225:1225:1225) (1372:1372:1372))
- (IOPATH i o (1667:1667:1667) (1647:1647:1647))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[1\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1200:1200:1200) (1338:1338:1338))
- (IOPATH i o (1677:1677:1677) (1657:1657:1657))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[2\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1318:1318:1318) (1471:1471:1471))
- (IOPATH i o (1677:1677:1677) (1657:1657:1657))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[3\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1443:1443:1443) (1614:1614:1614))
- (IOPATH i o (1812:1812:1812) (1785:1785:1785))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[4\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1444:1444:1444) (1617:1617:1617))
- (IOPATH i o (1792:1792:1792) (1765:1765:1765))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[5\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (636:636:636) (726:726:726))
- (IOPATH i o (1792:1792:1792) (1765:1765:1765))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[6\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (644:644:644) (734:734:734))
- (IOPATH i o (1792:1792:1792) (1765:1765:1765))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[7\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (865:865:865) (976:976:976))
- (IOPATH i o (1802:1802:1802) (1775:1775:1775))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[8\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (802:802:802) (910:910:910))
- (IOPATH i o (1762:1762:1762) (1735:1735:1735))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[9\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (792:792:792) (896:896:896))
- (IOPATH i o (1782:1782:1782) (1755:1755:1755))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[10\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (755:755:755) (852:852:852))
- (IOPATH i o (1772:1772:1772) (1745:1745:1745))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[11\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (748:748:748) (836:836:836))
- (IOPATH i o (1772:1772:1772) (1745:1745:1745))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[12\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (592:592:592) (654:654:654))
- (IOPATH i o (1782:1782:1782) (1755:1755:1755))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[13\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (586:586:586) (650:650:650))
- (IOPATH i o (1772:1772:1772) (1745:1745:1745))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[14\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (428:428:428) (469:469:469))
- (IOPATH i o (1782:1782:1782) (1755:1755:1755))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[15\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (438:438:438) (484:484:484))
- (IOPATH i o (1772:1772:1772) (1745:1745:1745))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (146:146:146) (196:196:196))
- (IOPATH datab combout (192:192:192) (181:181:181))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_rst_n\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (318:318:318) (698:698:698))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
- (DELAY
- (ABSOLUTE
- (PORT clk (1411:1411:1411) (1239:1239:1239))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (2263:2263:2263) (2046:2046:2046))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE rst_n\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1116:1116:1116) (942:942:942))
- (PORT datab (130:130:130) (178:178:178))
- (PORT datac (1749:1749:1749) (1960:1960:1960))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE rst_n\~0clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (910:910:910) (1027:1027:1027))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (871:871:871) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (855:855:855) (858:858:858))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (146:146:146) (198:198:198))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (146:146:146) (196:196:196))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (871:871:871) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (855:855:855) (858:858:858))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (141:141:141) (190:190:190))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (871:871:871) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (855:855:855) (858:858:858))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (345:345:345) (411:411:411))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (146:146:146) (195:195:195))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (871:871:871) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (855:855:855) (858:858:858))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (143:143:143) (193:193:193))
- (IOPATH dataa combout (165:165:165) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (871:871:871) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (855:855:855) (858:858:858))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (167:167:167) (219:219:219))
- (IOPATH datab combout (192:192:192) (177:177:177))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~18)
- (DELAY
- (ABSOLUTE
- (PORT datad (146:146:146) (190:190:190))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (312:312:312) (362:362:362))
- (PORT datac (93:93:93) (115:115:115))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (871:871:871) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (855:855:855) (858:858:858))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (287:287:287) (330:330:330))
- (PORT datac (104:104:104) (125:125:125))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (856:856:856) (859:859:859))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (247:247:247) (303:303:303))
- (PORT datab (160:160:160) (214:214:214))
- (PORT datac (322:322:322) (386:386:386))
- (PORT datad (134:134:134) (173:173:173))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (871:871:871) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (855:855:855) (858:858:858))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (149:149:149) (202:202:202))
- (PORT datab (147:147:147) (197:197:197))
- (PORT datac (134:134:134) (177:177:177))
- (PORT datad (135:135:135) (175:175:175))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (333:333:333) (404:404:404))
- (PORT datab (276:276:276) (321:321:321))
- (PORT datac (273:273:273) (312:312:312))
- (PORT datad (349:349:349) (419:419:419))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (106:106:106) (135:135:135))
- (PORT datac (298:298:298) (341:341:341))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (125:125:125))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (871:871:871) (875:875:875))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (855:855:855) (858:858:858))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan2\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (161:161:161) (213:213:213))
- (PORT datad (139:139:139) (182:182:182))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (325:325:325) (388:388:388))
- (PORT datab (342:342:342) (409:409:409))
- (PORT datac (286:286:286) (333:333:333))
- (PORT datad (133:133:133) (171:171:171))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (167:167:167) (156:156:156))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (324:324:324) (381:381:381))
- (PORT datab (340:340:340) (397:397:397))
- (PORT datad (126:126:126) (150:150:150))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (854:854:854) (857:857:857))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (331:331:331) (397:397:397))
- (PORT datab (334:334:334) (390:390:390))
- (PORT datad (130:130:130) (158:158:158))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (854:854:854) (857:857:857))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (424:424:424) (489:489:489))
- (PORT datab (333:333:333) (390:390:390))
- (PORT datad (131:131:131) (159:159:159))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (854:854:854) (857:857:857))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (391:391:391) (472:472:472))
- (PORT datab (146:146:146) (197:197:197))
- (PORT datac (132:132:132) (176:176:176))
- (PORT datad (133:133:133) (172:172:172))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (442:442:442) (510:510:510))
- (PORT datab (337:337:337) (394:394:394))
- (PORT datad (127:127:127) (152:152:152))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (854:854:854) (857:857:857))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (120:120:120) (158:158:158))
- (PORT datab (147:147:147) (198:198:198))
- (PORT datac (162:162:162) (191:191:191))
- (PORT datad (137:137:137) (178:178:178))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (349:349:349) (414:414:414))
- (PORT datab (334:334:334) (390:390:390))
- (PORT datad (131:131:131) (159:159:159))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (854:854:854) (857:857:857))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan6\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (148:148:148) (198:198:198))
- (PORT datac (134:134:134) (177:177:177))
- (PORT datad (135:135:135) (174:174:174))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (334:334:334) (392:392:392))
- (PORT datab (338:338:338) (394:394:394))
- (PORT datad (127:127:127) (151:151:151))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (870:870:870) (874:874:874))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (854:854:854) (857:857:857))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~14)
- (DELAY
- (ABSOLUTE
- (PORT datab (214:214:214) (274:274:274))
- (IOPATH datab combout (166:166:166) (176:176:176))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (345:345:345) (405:405:405))
- (PORT datab (106:106:106) (135:135:135))
- (PORT datad (466:466:466) (539:539:539))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (167:167:167) (158:158:158))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (873:873:873) (878:878:878))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (857:857:857) (860:860:860))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~18)
- (DELAY
- (ABSOLUTE
- (PORT datad (138:138:138) (179:179:179))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (345:345:345) (405:405:405))
- (PORT datab (106:106:106) (136:136:136))
- (PORT datad (467:467:467) (539:539:539))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (167:167:167) (158:158:158))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (873:873:873) (878:878:878))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (857:857:857) (860:860:860))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (106:106:106) (138:138:138))
- (PORT datab (484:484:484) (562:562:562))
- (PORT datad (331:331:331) (379:379:379))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (190:190:190) (188:188:188))
- (IOPATH datac combout (190:190:190) (195:195:195))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (873:873:873) (878:878:878))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (857:857:857) (860:860:860))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (142:142:142) (192:192:192))
- (PORT datab (142:142:142) (190:190:190))
- (PORT datac (340:340:340) (408:408:408))
- (PORT datad (344:344:344) (418:418:418))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (110:110:110) (143:143:143))
- (PORT datab (150:150:150) (201:201:201))
- (PORT datac (368:368:368) (448:448:448))
- (PORT datad (103:103:103) (127:127:127))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan6\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (147:147:147) (198:198:198))
- (PORT datad (136:136:136) (177:177:177))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (371:371:371) (454:454:454))
- (PORT datab (150:150:150) (201:201:201))
- (PORT datac (200:200:200) (253:253:253))
- (PORT datad (197:197:197) (250:250:250))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (108:108:108) (140:140:140))
- (PORT datab (103:103:103) (132:132:132))
- (PORT datac (253:253:253) (288:288:288))
- (PORT datad (107:107:107) (132:132:132))
- (IOPATH dataa combout (181:181:181) (175:175:175))
- (IOPATH datab combout (182:182:182) (177:177:177))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan2\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (341:341:341) (408:408:408))
- (PORT datac (315:315:315) (377:377:377))
- (PORT datad (132:132:132) (170:170:170))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb_valid\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (274:274:274) (319:319:319))
- (PORT datab (366:366:366) (441:441:441))
- (PORT datac (285:285:285) (331:331:331))
- (PORT datad (99:99:99) (122:122:122))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (188:188:188) (177:177:177))
- (IOPATH datac combout (120:120:120) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (226:226:226) (280:280:280))
- (PORT datab (312:312:312) (370:370:370))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datab cout (227:227:227) (175:175:175))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (226:226:226) (280:280:280))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (212:212:212) (266:266:266))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (216:216:216) (272:272:272))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (320:320:320) (387:387:387))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~10)
- (DELAY
- (ABSOLUTE
- (PORT datab (227:227:227) (282:282:282))
- (IOPATH datab combout (167:167:167) (174:174:174))
- (IOPATH datab cout (227:227:227) (175:175:175))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (321:321:321) (385:385:385))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan14\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (135:135:135) (169:169:169))
- (PORT datad (115:115:115) (137:137:137))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (238:238:238) (291:291:291))
- (IOPATH dataa combout (166:166:166) (173:173:173))
- (IOPATH dataa cout (226:226:226) (171:171:171))
- (IOPATH datad combout (68:68:68) (63:63:63))
- (IOPATH cin combout (187:187:187) (204:204:204))
- (IOPATH cin cout (34:34:34) (34:34:34))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (227:227:227) (281:281:281))
- (IOPATH dataa combout (195:195:195) (203:203:203))
- (IOPATH cin combout (187:187:187) (204:204:204))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (321:321:321) (375:375:375))
- (PORT datab (105:105:105) (134:134:134))
- (PORT datac (108:108:108) (132:132:132))
- (PORT datad (110:110:110) (130:130:130))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (120:120:120) (158:158:158))
- (PORT datac (365:365:365) (444:444:444))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datac combout (119:119:119) (124:124:124))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan4\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (289:289:289) (336:336:336))
- (PORT datab (368:368:368) (444:444:444))
- (PORT datac (283:283:283) (329:329:329))
- (PORT datad (101:101:101) (124:124:124))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (274:274:274) (319:319:319))
- (PORT datab (367:367:367) (443:443:443))
- (PORT datac (272:272:272) (311:311:311))
- (PORT datad (100:100:100) (123:123:123))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (324:324:324) (385:385:385))
- (PORT datab (344:344:344) (409:409:409))
- (PORT datac (91:91:91) (113:113:113))
- (PORT datad (91:91:91) (109:109:109))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (476:476:476) (553:553:553))
- (PORT datad (334:334:334) (384:384:384))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (106:106:106) (138:138:138))
- (PORT datab (336:336:336) (394:394:394))
- (PORT datac (372:372:372) (441:441:441))
- (PORT datad (110:110:110) (131:131:131))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (348:348:348) (409:409:409))
- (PORT datab (363:363:363) (427:427:427))
- (PORT datac (372:372:372) (442:442:442))
- (PORT datad (460:460:460) (529:529:529))
- (IOPATH dataa combout (165:165:165) (159:159:159))
- (IOPATH datab combout (166:166:166) (158:158:158))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (118:118:118) (156:156:156))
- (PORT datab (125:125:125) (157:157:157))
- (PORT datac (373:373:373) (443:443:443))
- (PORT datad (182:182:182) (213:213:213))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[4\]\~10)
- (DELAY
- (ABSOLUTE
- (PORT datac (375:375:375) (445:445:445))
- (PORT datad (334:334:334) (383:383:383))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (327:327:327) (384:384:384))
- (PORT datab (136:136:136) (171:171:171))
- (PORT datac (304:304:304) (352:352:352))
- (PORT datad (115:115:115) (138:138:138))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (120:120:120) (157:157:157))
- (PORT datab (117:117:117) (145:145:145))
- (PORT datac (93:93:93) (116:116:116))
- (PORT datad (312:312:312) (361:361:361))
- (IOPATH dataa combout (186:186:186) (175:175:175))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (206:206:206) (242:242:242))
- (PORT datab (109:109:109) (140:140:140))
- (PORT datac (114:114:114) (135:135:135))
- (PORT datad (95:95:95) (114:114:114))
- (IOPATH dataa combout (159:159:159) (163:163:163))
- (IOPATH datab combout (161:161:161) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (879:879:879))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (858:858:858) (862:862:862))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (327:327:327) (388:388:388))
- (PORT datab (134:134:134) (172:172:172))
- (PORT datac (329:329:329) (393:393:393))
- (PORT datad (330:330:330) (390:390:390))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[4\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (349:349:349) (410:410:410))
- (PORT datab (390:390:390) (461:461:461))
- (PORT datac (433:433:433) (493:493:493))
- (PORT datad (462:462:462) (531:531:531))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (109:109:109) (144:144:144))
- (PORT datab (127:127:127) (161:161:161))
- (PORT datac (95:95:95) (119:119:119))
- (PORT datad (185:185:185) (207:207:207))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (167:167:167) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (879:879:879))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (858:858:858) (862:862:862))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[1\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (323:323:323) (383:383:383))
- (PORT datab (342:342:342) (408:408:408))
- (PORT datac (117:117:117) (152:152:152))
- (PORT datad (347:347:347) (420:420:420))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~25)
- (DELAY
- (ABSOLUTE
- (PORT dataa (192:192:192) (230:230:230))
- (PORT datab (189:189:189) (227:227:227))
- (PORT datac (103:103:103) (124:124:124))
- (PORT datad (319:319:319) (364:364:364))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (188:188:188) (177:177:177))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (872:872:872) (876:876:876))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (856:856:856) (859:859:859))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[5\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (323:323:323) (383:383:383))
- (PORT datab (341:341:341) (407:407:407))
- (PORT datac (118:118:118) (153:153:153))
- (PORT datad (119:119:119) (155:155:155))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~18)
- (DELAY
- (ABSOLUTE
- (PORT dataa (326:326:326) (383:383:383))
- (PORT datab (135:135:135) (170:170:170))
- (PORT datac (299:299:299) (345:345:345))
- (PORT datad (115:115:115) (138:138:138))
- (IOPATH dataa combout (188:188:188) (179:179:179))
- (IOPATH datab combout (188:188:188) (177:177:177))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~14)
- (DELAY
- (ABSOLUTE
- (PORT datab (123:123:123) (153:153:153))
- (PORT datac (305:305:305) (353:353:353))
- (PORT datad (123:123:123) (147:147:147))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~26)
- (DELAY
- (ABSOLUTE
- (PORT dataa (314:314:314) (368:368:368))
- (PORT datab (102:102:102) (130:130:130))
- (PORT datac (106:106:106) (129:129:129))
- (PORT datad (106:106:106) (124:124:124))
- (IOPATH dataa combout (170:170:170) (165:165:165))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (120:120:120) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~19)
- (DELAY
- (ABSOLUTE
- (PORT datab (349:349:349) (413:413:413))
- (PORT datad (185:185:185) (206:206:206))
- (IOPATH datab combout (167:167:167) (167:167:167))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (879:879:879))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (859:859:859) (862:862:862))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (325:325:325) (385:385:385))
- (PORT datab (345:345:345) (411:411:411))
- (PORT datac (115:115:115) (151:151:151))
- (PORT datad (322:322:322) (388:388:388))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan2\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (194:194:194) (237:237:237))
- (PORT datab (373:373:373) (440:440:440))
- (PORT datad (461:461:461) (530:530:530))
- (IOPATH dataa combout (166:166:166) (159:159:159))
- (IOPATH datab combout (167:167:167) (158:158:158))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~20)
- (DELAY
- (ABSOLUTE
- (PORT dataa (343:343:343) (401:401:401))
- (PORT datac (330:330:330) (377:377:377))
- (PORT datad (345:345:345) (401:401:401))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~21)
- (DELAY
- (ABSOLUTE
- (PORT dataa (203:203:203) (238:238:238))
- (PORT datab (347:347:347) (410:410:410))
- (PORT datac (175:175:175) (202:202:202))
- (PORT datad (91:91:91) (109:109:109))
- (IOPATH dataa combout (166:166:166) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (879:879:879))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (859:859:859) (862:862:862))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[10\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (327:327:327) (388:388:388))
- (PORT datab (350:350:350) (416:416:416))
- (PORT datac (116:116:116) (151:151:151))
- (PORT datad (354:354:354) (430:430:430))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~22)
- (DELAY
- (ABSOLUTE
- (PORT dataa (119:119:119) (157:157:157))
- (PORT datab (335:335:335) (393:393:393))
- (PORT datac (375:375:375) (445:445:445))
- (PORT datad (113:113:113) (135:135:135))
- (IOPATH dataa combout (158:158:158) (163:163:163))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (125:125:125))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~23)
- (DELAY
- (ABSOLUTE
- (PORT dataa (123:123:123) (157:157:157))
- (PORT datab (106:106:106) (136:136:136))
- (PORT datac (165:165:165) (194:194:194))
- (PORT datad (116:116:116) (140:140:140))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (160:160:160) (156:156:156))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[13\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (879:879:879))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (858:858:858) (862:862:862))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[11\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (324:324:324) (385:385:385))
- (PORT datab (351:351:351) (431:431:431))
- (PORT datac (116:116:116) (152:152:152))
- (PORT datad (324:324:324) (383:383:383))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~24)
- (DELAY
- (ABSOLUTE
- (PORT dataa (111:111:111) (145:145:145))
- (PORT datab (130:130:130) (163:163:163))
- (PORT datac (165:165:165) (194:194:194))
- (PORT datad (111:111:111) (132:132:132))
- (IOPATH dataa combout (170:170:170) (163:163:163))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[15\])
- (DELAY
- (ABSOLUTE
- (PORT clk (875:875:875) (879:879:879))
- (PORT d (37:37:37) (50:50:50))
- (PORT clrn (858:858:858) (862:862:862))
- (IOPATH (posedge clk) q (105:105:105) (105:105:105))
- (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (84:84:84))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[12\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (327:327:327) (388:388:388))
- (PORT datab (311:311:311) (371:371:371))
- (PORT datac (116:116:116) (150:150:150))
- (PORT datad (329:329:329) (388:388:388))
- (IOPATH dataa combout (158:158:158) (157:157:157))
- (IOPATH datab combout (168:168:168) (167:167:167))
- (IOPATH datac combout (119:119:119) (124:124:124))
- (IOPATH datad combout (68:68:68) (63:63:63))
- )
- )
- )
-)
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This file contains Fast Corner delays for the design using part EP4CE15F23C8,
+// with speed grade M, core voltage 1.2V, and temperature 0 Celsius
+//
+
+//
+// This SDF file should be used for ModelSim (Verilog) only
+//
+
+(DELAYFILE
+ (SDFVERSION "2.1")
+ (DESIGN "vga_colorbar")
+ (DATE "04/29/2025 20:26:33")
+ (VENDOR "Altera")
+ (PROGRAM "Quartus II 32-bit")
+ (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition")
+ (DIVIDER .)
+ (TIMESCALE 1 ps)
+
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (147:147:147) (199:199:199))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_pll")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
+ (DELAY
+ (ABSOLUTE
+ (PORT areset (2024:2024:2024) (2024:2024:2024))
+ (PORT inclk[0] (1104:1104:1104) (1104:1104:1104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (367:367:367) (451:451:451))
+ (IOPATH dataa combout (186:186:186) (180:180:180))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (371:371:371) (445:445:445))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (372:372:372) (445:445:445))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (381:381:381) (460:460:460))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (214:214:214) (270:270:270))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (366:366:366) (442:442:442))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (209:209:209) (275:275:275))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (371:371:371) (454:454:454))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (854:854:854) (857:857:857))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (162:162:162) (213:213:213))
+ (PORT datad (139:139:139) (183:183:183))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (855:855:855) (858:858:858))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (321:321:321) (377:377:377))
+ (PORT datab (336:336:336) (392:392:392))
+ (PORT datad (128:128:128) (157:157:157))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (190:190:190) (188:188:188))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[4\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (474:474:474) (551:551:551))
+ (PORT datad (354:354:354) (415:415:415))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (444:444:444) (512:512:512))
+ (PORT datab (363:363:363) (428:428:428))
+ (PORT datac (376:376:376) (445:445:445))
+ (PORT datad (456:456:456) (524:524:524))
+ (IOPATH dataa combout (158:158:158) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (322:322:322) (383:383:383))
+ (PORT datab (188:188:188) (225:225:225))
+ (PORT datac (95:95:95) (119:119:119))
+ (PORT datad (105:105:105) (128:128:128))
+ (IOPATH dataa combout (165:165:165) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~17)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (135:135:135) (170:170:170))
+ (PORT datac (305:305:305) (361:361:361))
+ (PORT datad (115:115:115) (138:138:138))
+ (IOPATH datab combout (196:196:196) (205:205:205))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_clk\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (358:358:358) (738:738:738))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (1120:1120:1120) (1119:1119:1119))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE hsync\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1064:1064:1064) (938:938:938))
+ (IOPATH i o (1647:1647:1647) (1667:1667:1667))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE vsync\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (913:913:913) (809:809:809))
+ (IOPATH i o (1657:1657:1657) (1677:1677:1677))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[0\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1225:1225:1225) (1372:1372:1372))
+ (IOPATH i o (1667:1667:1667) (1647:1647:1647))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[1\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1200:1200:1200) (1338:1338:1338))
+ (IOPATH i o (1677:1677:1677) (1657:1657:1657))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[2\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1318:1318:1318) (1471:1471:1471))
+ (IOPATH i o (1677:1677:1677) (1657:1657:1657))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[3\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1443:1443:1443) (1614:1614:1614))
+ (IOPATH i o (1812:1812:1812) (1785:1785:1785))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[4\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1444:1444:1444) (1617:1617:1617))
+ (IOPATH i o (1792:1792:1792) (1765:1765:1765))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[5\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (636:636:636) (726:726:726))
+ (IOPATH i o (1792:1792:1792) (1765:1765:1765))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[6\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (644:644:644) (734:734:734))
+ (IOPATH i o (1792:1792:1792) (1765:1765:1765))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[7\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (865:865:865) (976:976:976))
+ (IOPATH i o (1802:1802:1802) (1775:1775:1775))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[8\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (802:802:802) (910:910:910))
+ (IOPATH i o (1762:1762:1762) (1735:1735:1735))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[9\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (792:792:792) (896:896:896))
+ (IOPATH i o (1782:1782:1782) (1755:1755:1755))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[10\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (755:755:755) (852:852:852))
+ (IOPATH i o (1772:1772:1772) (1745:1745:1745))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[11\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (748:748:748) (836:836:836))
+ (IOPATH i o (1772:1772:1772) (1745:1745:1745))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[12\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (592:592:592) (654:654:654))
+ (IOPATH i o (1782:1782:1782) (1755:1755:1755))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[13\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (586:586:586) (650:650:650))
+ (IOPATH i o (1772:1772:1772) (1745:1745:1745))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[14\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (428:428:428) (469:469:469))
+ (IOPATH i o (1782:1782:1782) (1755:1755:1755))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[15\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (438:438:438) (484:484:484))
+ (IOPATH i o (1772:1772:1772) (1745:1745:1745))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (146:146:146) (196:196:196))
+ (IOPATH datab combout (192:192:192) (181:181:181))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_rst_n\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (318:318:318) (698:698:698))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1411:1411:1411) (1239:1239:1239))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (2263:2263:2263) (2046:2046:2046))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE rst_n\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1116:1116:1116) (942:942:942))
+ (PORT datab (130:130:130) (178:178:178))
+ (PORT datac (1749:1749:1749) (1960:1960:1960))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE rst_n\~0clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (910:910:910) (1027:1027:1027))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (855:855:855) (858:858:858))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (146:146:146) (198:198:198))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (146:146:146) (196:196:196))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (855:855:855) (858:858:858))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (141:141:141) (190:190:190))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (855:855:855) (858:858:858))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (345:345:345) (411:411:411))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (146:146:146) (195:195:195))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (855:855:855) (858:858:858))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (143:143:143) (193:193:193))
+ (IOPATH dataa combout (165:165:165) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (855:855:855) (858:858:858))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (167:167:167) (219:219:219))
+ (IOPATH datab combout (192:192:192) (177:177:177))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (146:146:146) (190:190:190))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (312:312:312) (362:362:362))
+ (PORT datac (93:93:93) (115:115:115))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (855:855:855) (858:858:858))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (287:287:287) (330:330:330))
+ (PORT datac (104:104:104) (125:125:125))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (872:872:872) (876:876:876))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (856:856:856) (859:859:859))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (247:247:247) (303:303:303))
+ (PORT datab (160:160:160) (214:214:214))
+ (PORT datac (322:322:322) (386:386:386))
+ (PORT datad (134:134:134) (173:173:173))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (855:855:855) (858:858:858))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (149:149:149) (202:202:202))
+ (PORT datab (147:147:147) (197:197:197))
+ (PORT datac (134:134:134) (177:177:177))
+ (PORT datad (135:135:135) (175:175:175))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (333:333:333) (404:404:404))
+ (PORT datab (276:276:276) (321:321:321))
+ (PORT datac (273:273:273) (312:312:312))
+ (PORT datad (349:349:349) (419:419:419))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (106:106:106) (135:135:135))
+ (PORT datac (298:298:298) (341:341:341))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (871:871:871) (875:875:875))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (855:855:855) (858:858:858))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (161:161:161) (213:213:213))
+ (PORT datad (139:139:139) (182:182:182))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (325:325:325) (388:388:388))
+ (PORT datab (342:342:342) (409:409:409))
+ (PORT datac (286:286:286) (333:333:333))
+ (PORT datad (133:133:133) (171:171:171))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (167:167:167) (156:156:156))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (324:324:324) (381:381:381))
+ (PORT datab (340:340:340) (397:397:397))
+ (PORT datad (126:126:126) (150:150:150))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (190:190:190) (188:188:188))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (854:854:854) (857:857:857))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (331:331:331) (397:397:397))
+ (PORT datab (334:334:334) (390:390:390))
+ (PORT datad (130:130:130) (158:158:158))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (190:190:190) (188:188:188))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (854:854:854) (857:857:857))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (424:424:424) (489:489:489))
+ (PORT datab (333:333:333) (390:390:390))
+ (PORT datad (131:131:131) (159:159:159))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (190:190:190) (188:188:188))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (854:854:854) (857:857:857))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (391:391:391) (472:472:472))
+ (PORT datab (146:146:146) (197:197:197))
+ (PORT datac (132:132:132) (176:176:176))
+ (PORT datad (133:133:133) (172:172:172))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (442:442:442) (510:510:510))
+ (PORT datab (337:337:337) (394:394:394))
+ (PORT datad (127:127:127) (152:152:152))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (190:190:190) (188:188:188))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (854:854:854) (857:857:857))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (120:120:120) (158:158:158))
+ (PORT datab (147:147:147) (198:198:198))
+ (PORT datac (162:162:162) (191:191:191))
+ (PORT datad (137:137:137) (178:178:178))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (349:349:349) (414:414:414))
+ (PORT datab (334:334:334) (390:390:390))
+ (PORT datad (131:131:131) (159:159:159))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (190:190:190) (188:188:188))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (854:854:854) (857:857:857))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (148:148:148) (198:198:198))
+ (PORT datac (134:134:134) (177:177:177))
+ (PORT datad (135:135:135) (174:174:174))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (334:334:334) (392:392:392))
+ (PORT datab (338:338:338) (394:394:394))
+ (PORT datad (127:127:127) (151:151:151))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (190:190:190) (188:188:188))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (870:870:870) (874:874:874))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (854:854:854) (857:857:857))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (214:214:214) (274:274:274))
+ (IOPATH datab combout (166:166:166) (176:176:176))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (345:345:345) (405:405:405))
+ (PORT datab (106:106:106) (135:135:135))
+ (PORT datad (466:466:466) (539:539:539))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (167:167:167) (158:158:158))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (873:873:873) (878:878:878))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (857:857:857) (860:860:860))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (138:138:138) (179:179:179))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (345:345:345) (405:405:405))
+ (PORT datab (106:106:106) (136:136:136))
+ (PORT datad (467:467:467) (539:539:539))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (167:167:167) (158:158:158))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (873:873:873) (878:878:878))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (857:857:857) (860:860:860))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (106:106:106) (138:138:138))
+ (PORT datab (484:484:484) (562:562:562))
+ (PORT datad (331:331:331) (379:379:379))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (190:190:190) (188:188:188))
+ (IOPATH datac combout (190:190:190) (195:195:195))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (873:873:873) (878:878:878))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (857:857:857) (860:860:860))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (142:142:142) (192:192:192))
+ (PORT datab (142:142:142) (190:190:190))
+ (PORT datac (340:340:340) (408:408:408))
+ (PORT datad (344:344:344) (418:418:418))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (110:110:110) (143:143:143))
+ (PORT datab (150:150:150) (201:201:201))
+ (PORT datac (368:368:368) (448:448:448))
+ (PORT datad (103:103:103) (127:127:127))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan6\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (147:147:147) (198:198:198))
+ (PORT datad (136:136:136) (177:177:177))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (371:371:371) (454:454:454))
+ (PORT datab (150:150:150) (201:201:201))
+ (PORT datac (200:200:200) (253:253:253))
+ (PORT datad (197:197:197) (250:250:250))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (108:108:108) (140:140:140))
+ (PORT datab (103:103:103) (132:132:132))
+ (PORT datac (253:253:253) (288:288:288))
+ (PORT datad (107:107:107) (132:132:132))
+ (IOPATH dataa combout (181:181:181) (175:175:175))
+ (IOPATH datab combout (182:182:182) (177:177:177))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (341:341:341) (408:408:408))
+ (PORT datac (315:315:315) (377:377:377))
+ (PORT datad (132:132:132) (170:170:170))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb_valid\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (274:274:274) (319:319:319))
+ (PORT datab (366:366:366) (441:441:441))
+ (PORT datac (285:285:285) (331:331:331))
+ (PORT datad (99:99:99) (122:122:122))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (188:188:188) (177:177:177))
+ (IOPATH datac combout (120:120:120) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (226:226:226) (280:280:280))
+ (PORT datab (312:312:312) (370:370:370))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (226:226:226) (280:280:280))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (212:212:212) (266:266:266))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (216:216:216) (272:272:272))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (320:320:320) (387:387:387))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (227:227:227) (282:282:282))
+ (IOPATH datab combout (167:167:167) (174:174:174))
+ (IOPATH datab cout (227:227:227) (175:175:175))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (321:321:321) (385:385:385))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (135:135:135) (169:169:169))
+ (PORT datad (115:115:115) (137:137:137))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (238:238:238) (291:291:291))
+ (IOPATH dataa combout (166:166:166) (173:173:173))
+ (IOPATH dataa cout (226:226:226) (171:171:171))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ (IOPATH cin cout (34:34:34) (34:34:34))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (227:227:227) (281:281:281))
+ (IOPATH dataa combout (195:195:195) (203:203:203))
+ (IOPATH cin combout (187:187:187) (204:204:204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (321:321:321) (375:375:375))
+ (PORT datab (105:105:105) (134:134:134))
+ (PORT datac (108:108:108) (132:132:132))
+ (PORT datad (110:110:110) (130:130:130))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (120:120:120) (158:158:158))
+ (PORT datac (365:365:365) (444:444:444))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan4\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (289:289:289) (336:336:336))
+ (PORT datab (368:368:368) (444:444:444))
+ (PORT datac (283:283:283) (329:329:329))
+ (PORT datad (101:101:101) (124:124:124))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (274:274:274) (319:319:319))
+ (PORT datab (367:367:367) (443:443:443))
+ (PORT datac (272:272:272) (311:311:311))
+ (PORT datad (100:100:100) (123:123:123))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (324:324:324) (385:385:385))
+ (PORT datab (344:344:344) (409:409:409))
+ (PORT datac (91:91:91) (113:113:113))
+ (PORT datad (91:91:91) (109:109:109))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (476:476:476) (553:553:553))
+ (PORT datad (334:334:334) (384:384:384))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (106:106:106) (138:138:138))
+ (PORT datab (336:336:336) (394:394:394))
+ (PORT datac (372:372:372) (441:441:441))
+ (PORT datad (110:110:110) (131:131:131))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (348:348:348) (409:409:409))
+ (PORT datab (363:363:363) (427:427:427))
+ (PORT datac (372:372:372) (442:442:442))
+ (PORT datad (460:460:460) (529:529:529))
+ (IOPATH dataa combout (165:165:165) (159:159:159))
+ (IOPATH datab combout (166:166:166) (158:158:158))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (118:118:118) (156:156:156))
+ (PORT datab (125:125:125) (157:157:157))
+ (PORT datac (373:373:373) (443:443:443))
+ (PORT datad (182:182:182) (213:213:213))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[4\]\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (375:375:375) (445:445:445))
+ (PORT datad (334:334:334) (383:383:383))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (327:327:327) (384:384:384))
+ (PORT datab (136:136:136) (171:171:171))
+ (PORT datac (304:304:304) (352:352:352))
+ (PORT datad (115:115:115) (138:138:138))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (120:120:120) (157:157:157))
+ (PORT datab (117:117:117) (145:145:145))
+ (PORT datac (93:93:93) (116:116:116))
+ (PORT datad (312:312:312) (361:361:361))
+ (IOPATH dataa combout (186:186:186) (175:175:175))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (206:206:206) (242:242:242))
+ (PORT datab (109:109:109) (140:140:140))
+ (PORT datac (114:114:114) (135:135:135))
+ (PORT datad (95:95:95) (114:114:114))
+ (IOPATH dataa combout (159:159:159) (163:163:163))
+ (IOPATH datab combout (161:161:161) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (879:879:879))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (858:858:858) (862:862:862))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (327:327:327) (388:388:388))
+ (PORT datab (134:134:134) (172:172:172))
+ (PORT datac (329:329:329) (393:393:393))
+ (PORT datad (330:330:330) (390:390:390))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[4\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (349:349:349) (410:410:410))
+ (PORT datab (390:390:390) (461:461:461))
+ (PORT datac (433:433:433) (493:493:493))
+ (PORT datad (462:462:462) (531:531:531))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (109:109:109) (144:144:144))
+ (PORT datab (127:127:127) (161:161:161))
+ (PORT datac (95:95:95) (119:119:119))
+ (PORT datad (185:185:185) (207:207:207))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (167:167:167) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (879:879:879))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (858:858:858) (862:862:862))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[1\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (323:323:323) (383:383:383))
+ (PORT datab (342:342:342) (408:408:408))
+ (PORT datac (117:117:117) (152:152:152))
+ (PORT datad (347:347:347) (420:420:420))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~25)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (192:192:192) (230:230:230))
+ (PORT datab (189:189:189) (227:227:227))
+ (PORT datac (103:103:103) (124:124:124))
+ (PORT datad (319:319:319) (364:364:364))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (188:188:188) (177:177:177))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (872:872:872) (876:876:876))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (856:856:856) (859:859:859))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[5\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (323:323:323) (383:383:383))
+ (PORT datab (341:341:341) (407:407:407))
+ (PORT datac (118:118:118) (153:153:153))
+ (PORT datad (119:119:119) (155:155:155))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (326:326:326) (383:383:383))
+ (PORT datab (135:135:135) (170:170:170))
+ (PORT datac (299:299:299) (345:345:345))
+ (PORT datad (115:115:115) (138:138:138))
+ (IOPATH dataa combout (188:188:188) (179:179:179))
+ (IOPATH datab combout (188:188:188) (177:177:177))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (123:123:123) (153:153:153))
+ (PORT datac (305:305:305) (353:353:353))
+ (PORT datad (123:123:123) (147:147:147))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~26)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (314:314:314) (368:368:368))
+ (PORT datab (102:102:102) (130:130:130))
+ (PORT datac (106:106:106) (129:129:129))
+ (PORT datad (106:106:106) (124:124:124))
+ (IOPATH dataa combout (170:170:170) (165:165:165))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (120:120:120) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~19)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (349:349:349) (413:413:413))
+ (PORT datad (185:185:185) (206:206:206))
+ (IOPATH datab combout (167:167:167) (167:167:167))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (879:879:879))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (859:859:859) (862:862:862))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (325:325:325) (385:385:385))
+ (PORT datab (345:345:345) (411:411:411))
+ (PORT datac (115:115:115) (151:151:151))
+ (PORT datad (322:322:322) (388:388:388))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan2\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (194:194:194) (237:237:237))
+ (PORT datab (373:373:373) (440:440:440))
+ (PORT datad (461:461:461) (530:530:530))
+ (IOPATH dataa combout (166:166:166) (159:159:159))
+ (IOPATH datab combout (167:167:167) (158:158:158))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (343:343:343) (401:401:401))
+ (PORT datac (330:330:330) (377:377:377))
+ (PORT datad (345:345:345) (401:401:401))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~21)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (203:203:203) (238:238:238))
+ (PORT datab (347:347:347) (410:410:410))
+ (PORT datac (175:175:175) (202:202:202))
+ (PORT datad (91:91:91) (109:109:109))
+ (IOPATH dataa combout (166:166:166) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (879:879:879))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (859:859:859) (862:862:862))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[10\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (327:327:327) (388:388:388))
+ (PORT datab (350:350:350) (416:416:416))
+ (PORT datac (116:116:116) (151:151:151))
+ (PORT datad (354:354:354) (430:430:430))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (119:119:119) (157:157:157))
+ (PORT datab (335:335:335) (393:393:393))
+ (PORT datac (375:375:375) (445:445:445))
+ (PORT datad (113:113:113) (135:135:135))
+ (IOPATH dataa combout (158:158:158) (163:163:163))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (125:125:125))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~23)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (123:123:123) (157:157:157))
+ (PORT datab (106:106:106) (136:136:136))
+ (PORT datac (165:165:165) (194:194:194))
+ (PORT datad (116:116:116) (140:140:140))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (160:160:160) (156:156:156))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[13\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (879:879:879))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (858:858:858) (862:862:862))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[11\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (324:324:324) (385:385:385))
+ (PORT datab (351:351:351) (431:431:431))
+ (PORT datac (116:116:116) (152:152:152))
+ (PORT datad (324:324:324) (383:383:383))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~24)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (111:111:111) (145:145:145))
+ (PORT datab (130:130:130) (163:163:163))
+ (PORT datac (165:165:165) (194:194:194))
+ (PORT datad (111:111:111) (132:132:132))
+ (IOPATH dataa combout (170:170:170) (163:163:163))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[15\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (875:875:875) (879:879:879))
+ (PORT d (37:37:37) (50:50:50))
+ (PORT clrn (858:858:858) (862:862:862))
+ (IOPATH (posedge clk) q (105:105:105) (105:105:105))
+ (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (84:84:84))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[12\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (327:327:327) (388:388:388))
+ (PORT datab (311:311:311) (371:371:371))
+ (PORT datac (116:116:116) (150:150:150))
+ (PORT datad (329:329:329) (388:388:388))
+ (IOPATH dataa combout (158:158:158) (157:157:157))
+ (IOPATH datab combout (168:168:168) (167:167:167))
+ (IOPATH datac combout (119:119:119) (124:124:124))
+ (IOPATH datad combout (68:68:68) (63:63:63))
+ )
+ )
+ )
+)
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_modelsim.xrf b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_modelsim.xrf
index b3b9b6c..7799820 100644
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_modelsim.xrf
+++ b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_modelsim.xrf
@@ -1,166 +1,166 @@
-vendor_name = ModelSim
-source_file = 1, E:/simiao/lc/A415/09_vga/vga/sim/tb_vga_ctrl.v
-source_file = 1, E:/simiao/lc/A415/09_vga/vga/sim/tb_vga_colorbar.v
-source_file = 1, E:/simiao/lc/A415/09_vga/vga/rtl/vga_pic.v
-source_file = 1, E:/simiao/lc/A415/09_vga/vga/rtl/vga_ctrl.v
-source_file = 1, E:/simiao/lc/A415/09_vga/vga/rtl/vga_colorbar.v
-source_file = 1, E:/simiao/lc/A415/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.qip
-source_file = 1, E:/simiao/lc/A415/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.v
-source_file = 1, E:/simiao/lc/A415/09_vga/vga/quartus_prj/db/vga_colorbar.cbx.xml
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/altpll.tdf
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/aglobal130.inc
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/stratix_pll.inc
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/stratixii_pll.inc
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/cycloneii_pll.inc
-source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/cbx.lst
-source_file = 1, E:/simiao/lc/A415/09_vga/vga/quartus_prj/db/clk_gen_altpll.v
-design_name = vga_colorbar
-instance = comp, \vga_ctrl_inst|Add0~4 , vga_ctrl_inst|Add0~4, vga_colorbar, 1
-instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll1 , clk_gen_inst|altpll_component|auto_generated|pll1, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~0 , vga_ctrl_inst|Add1~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~2 , vga_ctrl_inst|Add1~2, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~4 , vga_ctrl_inst|Add1~4, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~6 , vga_ctrl_inst|Add1~6, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~8 , vga_ctrl_inst|Add1~8, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~10 , vga_ctrl_inst|Add1~10, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~12 , vga_ctrl_inst|Add1~12, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~16 , vga_ctrl_inst|Add1~16, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[8] , vga_ctrl_inst|cnt_v[8], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Equal0~0 , vga_ctrl_inst|Equal0~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[2] , vga_ctrl_inst|cnt_h[2], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[8]~3 , vga_ctrl_inst|cnt_v[8]~3, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[4]~5 , vga_pic_inst|pix_data[4]~5, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~8 , vga_pic_inst|pix_data~8, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~15 , vga_pic_inst|pix_data~15, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~17 , vga_pic_inst|pix_data~17, vga_colorbar, 1
-instance = comp, \sys_clk~input , sys_clk~input, vga_colorbar, 1
-instance = comp, \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl , clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl, vga_colorbar, 1
-instance = comp, \hsync~output , hsync~output, vga_colorbar, 1
-instance = comp, \vsync~output , vsync~output, vga_colorbar, 1
-instance = comp, \rgb[0]~output , rgb[0]~output, vga_colorbar, 1
-instance = comp, \rgb[1]~output , rgb[1]~output, vga_colorbar, 1
-instance = comp, \rgb[2]~output , rgb[2]~output, vga_colorbar, 1
-instance = comp, \rgb[3]~output , rgb[3]~output, vga_colorbar, 1
-instance = comp, \rgb[4]~output , rgb[4]~output, vga_colorbar, 1
-instance = comp, \rgb[5]~output , rgb[5]~output, vga_colorbar, 1
-instance = comp, \rgb[6]~output , rgb[6]~output, vga_colorbar, 1
-instance = comp, \rgb[7]~output , rgb[7]~output, vga_colorbar, 1
-instance = comp, \rgb[8]~output , rgb[8]~output, vga_colorbar, 1
-instance = comp, \rgb[9]~output , rgb[9]~output, vga_colorbar, 1
-instance = comp, \rgb[10]~output , rgb[10]~output, vga_colorbar, 1
-instance = comp, \rgb[11]~output , rgb[11]~output, vga_colorbar, 1
-instance = comp, \rgb[12]~output , rgb[12]~output, vga_colorbar, 1
-instance = comp, \rgb[13]~output , rgb[13]~output, vga_colorbar, 1
-instance = comp, \rgb[14]~output , rgb[14]~output, vga_colorbar, 1
-instance = comp, \rgb[15]~output , rgb[15]~output, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~0 , vga_ctrl_inst|Add0~0, vga_colorbar, 1
-instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder , clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder, vga_colorbar, 1
-instance = comp, \sys_rst_n~input , sys_rst_n~input, vga_colorbar, 1
-instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync , clk_gen_inst|altpll_component|auto_generated|pll_lock_sync, vga_colorbar, 1
-instance = comp, \rst_n~0 , rst_n~0, vga_colorbar, 1
-instance = comp, \rst_n~0clkctrl , rst_n~0clkctrl, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[0] , vga_ctrl_inst|cnt_h[0], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~2 , vga_ctrl_inst|Add0~2, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~6 , vga_ctrl_inst|Add0~6, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[3] , vga_ctrl_inst|cnt_h[3], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~8 , vga_ctrl_inst|Add0~8, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[4] , vga_ctrl_inst|cnt_h[4], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~10 , vga_ctrl_inst|Add0~10, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~12 , vga_ctrl_inst|Add0~12, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[6] , vga_ctrl_inst|cnt_h[6], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~14 , vga_ctrl_inst|Add0~14, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[7] , vga_ctrl_inst|cnt_h[7], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~16 , vga_ctrl_inst|Add0~16, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add0~18 , vga_ctrl_inst|Add0~18, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h~1 , vga_ctrl_inst|cnt_h~1, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[9] , vga_ctrl_inst|cnt_h[9], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h~0 , vga_ctrl_inst|cnt_h~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[5] , vga_ctrl_inst|cnt_h[5], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Equal0~2 , vga_ctrl_inst|Equal0~2, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[1] , vga_ctrl_inst|cnt_h[1], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Equal0~1 , vga_ctrl_inst|Equal0~1, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Equal0~3 , vga_ctrl_inst|Equal0~3, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h~2 , vga_ctrl_inst|cnt_h~2, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_h[8] , vga_ctrl_inst|cnt_h[8], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|LessThan2~0 , vga_ctrl_inst|LessThan2~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|LessThan0~0 , vga_ctrl_inst|LessThan0~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[0]~9 , vga_ctrl_inst|cnt_v[0]~9, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[0] , vga_ctrl_inst|cnt_v[0], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[2]~8 , vga_ctrl_inst|cnt_v[2]~8, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[2] , vga_ctrl_inst|cnt_v[2], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[4]~6 , vga_ctrl_inst|cnt_v[4]~6, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[4] , vga_ctrl_inst|cnt_v[4], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|always1~1 , vga_ctrl_inst|always1~1, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[1]~0 , vga_ctrl_inst|cnt_v[1]~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[1] , vga_ctrl_inst|cnt_v[1], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|always1~2 , vga_ctrl_inst|always1~2, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[3]~7 , vga_ctrl_inst|cnt_v[3]~7, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[3] , vga_ctrl_inst|cnt_v[3], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|LessThan6~0 , vga_ctrl_inst|LessThan6~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[5]~2 , vga_ctrl_inst|cnt_v[5]~2, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[5] , vga_ctrl_inst|cnt_v[5], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~14 , vga_ctrl_inst|Add1~14, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[7]~4 , vga_ctrl_inst|cnt_v[7]~4, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[7] , vga_ctrl_inst|cnt_v[7], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add1~18 , vga_ctrl_inst|Add1~18, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[9]~1 , vga_ctrl_inst|cnt_v[9]~1, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[9] , vga_ctrl_inst|cnt_v[9], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[6]~5 , vga_ctrl_inst|cnt_v[6]~5, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|cnt_v[6] , vga_ctrl_inst|cnt_v[6], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|always1~0 , vga_ctrl_inst|always1~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|LessThan1~0 , vga_ctrl_inst|LessThan1~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|LessThan6~1 , vga_ctrl_inst|LessThan6~1, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~1 , vga_ctrl_inst|pix_data_req~1, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~2 , vga_ctrl_inst|pix_data_req~2, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|LessThan2~1 , vga_ctrl_inst|LessThan2~1, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb_valid~0 , vga_ctrl_inst|rgb_valid~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~1 , vga_ctrl_inst|Add2~1, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~3 , vga_ctrl_inst|Add2~3, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~5 , vga_ctrl_inst|Add2~5, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~7 , vga_ctrl_inst|Add2~7, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~9 , vga_ctrl_inst|Add2~9, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~10 , vga_ctrl_inst|Add2~10, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~12 , vga_ctrl_inst|Add2~12, vga_colorbar, 1
-instance = comp, \vga_pic_inst|LessThan14~0 , vga_pic_inst|LessThan14~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~14 , vga_ctrl_inst|Add2~14, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|Add2~16 , vga_ctrl_inst|Add2~16, vga_colorbar, 1
-instance = comp, \vga_pic_inst|LessThan6~0 , vga_pic_inst|LessThan6~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~0 , vga_ctrl_inst|pix_data_req~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|LessThan4~0 , vga_ctrl_inst|LessThan4~0, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~3 , vga_ctrl_inst|pix_data_req~3, vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|pix_data_req~4 , vga_ctrl_inst|pix_data_req~4, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~4 , vga_pic_inst|pix_data~4, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~9 , vga_pic_inst|pix_data~9, vga_colorbar, 1
-instance = comp, \vga_pic_inst|LessThan17~0 , vga_pic_inst|LessThan17~0, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~6 , vga_pic_inst|pix_data~6, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[4]~10 , vga_pic_inst|pix_data[4]~10, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~11 , vga_pic_inst|pix_data~11, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~12 , vga_pic_inst|pix_data~12, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~13 , vga_pic_inst|pix_data~13, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[0] , vga_pic_inst|pix_data[0], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[0]~0 , vga_ctrl_inst|rgb[0]~0, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[4]~7 , vga_pic_inst|pix_data[4]~7, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~16 , vga_pic_inst|pix_data~16, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[4] , vga_pic_inst|pix_data[4], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[1]~1 , vga_ctrl_inst|rgb[1]~1, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~25 , vga_pic_inst|pix_data~25, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[8] , vga_pic_inst|pix_data[8], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[5]~2 , vga_ctrl_inst|rgb[5]~2, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~18 , vga_pic_inst|pix_data~18, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~14 , vga_pic_inst|pix_data~14, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~26 , vga_pic_inst|pix_data~26, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~19 , vga_pic_inst|pix_data~19, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[9] , vga_pic_inst|pix_data[9], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[7]~3 , vga_ctrl_inst|rgb[7]~3, vga_colorbar, 1
-instance = comp, \vga_pic_inst|LessThan2~2 , vga_pic_inst|LessThan2~2, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~20 , vga_pic_inst|pix_data~20, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~21 , vga_pic_inst|pix_data~21, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[10] , vga_pic_inst|pix_data[10], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[10]~4 , vga_ctrl_inst|rgb[10]~4, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~22 , vga_pic_inst|pix_data~22, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~23 , vga_pic_inst|pix_data~23, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[13] , vga_pic_inst|pix_data[13], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[11]~5 , vga_ctrl_inst|rgb[11]~5, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data~24 , vga_pic_inst|pix_data~24, vga_colorbar, 1
-instance = comp, \vga_pic_inst|pix_data[15] , vga_pic_inst|pix_data[15], vga_colorbar, 1
-instance = comp, \vga_ctrl_inst|rgb[12]~6 , vga_ctrl_inst|rgb[12]~6, vga_colorbar, 1
+vendor_name = ModelSim
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/09_vga/vga/sim/tb_vga_ctrl.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/09_vga/vga/sim/tb_vga_colorbar.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/09_vga/vga/rtl/vga_pic.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/09_vga/vga/rtl/vga_ctrl.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/09_vga/vga/rtl/vga_colorbar.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.qip
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/ip_core/clk_gen/clk_gen.v
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/db/vga_colorbar.cbx.xml
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/altpll.tdf
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/aglobal130.inc
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/stratix_pll.inc
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/stratixii_pll.inc
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/cycloneii_pll.inc
+source_file = 1, /software/apps/altera/quartus_ii_13.0sp1/quartus/libraries/megafunctions/cbx.lst
+source_file = 1, /root/projects/hp_instrument_lcds/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/db/clk_gen_altpll.v
+design_name = vga_colorbar
+instance = comp, \vga_ctrl_inst|Add0~4 , vga_ctrl_inst|Add0~4, vga_colorbar, 1
+instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll1 , clk_gen_inst|altpll_component|auto_generated|pll1, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~0 , vga_ctrl_inst|Add1~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~2 , vga_ctrl_inst|Add1~2, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~4 , vga_ctrl_inst|Add1~4, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~6 , vga_ctrl_inst|Add1~6, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~8 , vga_ctrl_inst|Add1~8, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~10 , vga_ctrl_inst|Add1~10, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~12 , vga_ctrl_inst|Add1~12, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~16 , vga_ctrl_inst|Add1~16, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[8] , vga_ctrl_inst|cnt_v[8], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Equal0~0 , vga_ctrl_inst|Equal0~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[2] , vga_ctrl_inst|cnt_h[2], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[8]~3 , vga_ctrl_inst|cnt_v[8]~3, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[4]~5 , vga_pic_inst|pix_data[4]~5, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~8 , vga_pic_inst|pix_data~8, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~15 , vga_pic_inst|pix_data~15, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~17 , vga_pic_inst|pix_data~17, vga_colorbar, 1
+instance = comp, \sys_clk~input , sys_clk~input, vga_colorbar, 1
+instance = comp, \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl , clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl, vga_colorbar, 1
+instance = comp, \hsync~output , hsync~output, vga_colorbar, 1
+instance = comp, \vsync~output , vsync~output, vga_colorbar, 1
+instance = comp, \rgb[0]~output , rgb[0]~output, vga_colorbar, 1
+instance = comp, \rgb[1]~output , rgb[1]~output, vga_colorbar, 1
+instance = comp, \rgb[2]~output , rgb[2]~output, vga_colorbar, 1
+instance = comp, \rgb[3]~output , rgb[3]~output, vga_colorbar, 1
+instance = comp, \rgb[4]~output , rgb[4]~output, vga_colorbar, 1
+instance = comp, \rgb[5]~output , rgb[5]~output, vga_colorbar, 1
+instance = comp, \rgb[6]~output , rgb[6]~output, vga_colorbar, 1
+instance = comp, \rgb[7]~output , rgb[7]~output, vga_colorbar, 1
+instance = comp, \rgb[8]~output , rgb[8]~output, vga_colorbar, 1
+instance = comp, \rgb[9]~output , rgb[9]~output, vga_colorbar, 1
+instance = comp, \rgb[10]~output , rgb[10]~output, vga_colorbar, 1
+instance = comp, \rgb[11]~output , rgb[11]~output, vga_colorbar, 1
+instance = comp, \rgb[12]~output , rgb[12]~output, vga_colorbar, 1
+instance = comp, \rgb[13]~output , rgb[13]~output, vga_colorbar, 1
+instance = comp, \rgb[14]~output , rgb[14]~output, vga_colorbar, 1
+instance = comp, \rgb[15]~output , rgb[15]~output, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~0 , vga_ctrl_inst|Add0~0, vga_colorbar, 1
+instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder , clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder, vga_colorbar, 1
+instance = comp, \sys_rst_n~input , sys_rst_n~input, vga_colorbar, 1
+instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync , clk_gen_inst|altpll_component|auto_generated|pll_lock_sync, vga_colorbar, 1
+instance = comp, \rst_n~0 , rst_n~0, vga_colorbar, 1
+instance = comp, \rst_n~0clkctrl , rst_n~0clkctrl, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[0] , vga_ctrl_inst|cnt_h[0], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~2 , vga_ctrl_inst|Add0~2, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~6 , vga_ctrl_inst|Add0~6, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[3] , vga_ctrl_inst|cnt_h[3], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~8 , vga_ctrl_inst|Add0~8, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[4] , vga_ctrl_inst|cnt_h[4], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~10 , vga_ctrl_inst|Add0~10, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~12 , vga_ctrl_inst|Add0~12, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[6] , vga_ctrl_inst|cnt_h[6], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~14 , vga_ctrl_inst|Add0~14, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[7] , vga_ctrl_inst|cnt_h[7], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~16 , vga_ctrl_inst|Add0~16, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add0~18 , vga_ctrl_inst|Add0~18, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h~1 , vga_ctrl_inst|cnt_h~1, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[9] , vga_ctrl_inst|cnt_h[9], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h~0 , vga_ctrl_inst|cnt_h~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[5] , vga_ctrl_inst|cnt_h[5], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Equal0~2 , vga_ctrl_inst|Equal0~2, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[1] , vga_ctrl_inst|cnt_h[1], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Equal0~1 , vga_ctrl_inst|Equal0~1, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Equal0~3 , vga_ctrl_inst|Equal0~3, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h~2 , vga_ctrl_inst|cnt_h~2, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_h[8] , vga_ctrl_inst|cnt_h[8], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|LessThan2~0 , vga_ctrl_inst|LessThan2~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|LessThan0~0 , vga_ctrl_inst|LessThan0~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[0]~9 , vga_ctrl_inst|cnt_v[0]~9, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[0] , vga_ctrl_inst|cnt_v[0], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[2]~8 , vga_ctrl_inst|cnt_v[2]~8, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[2] , vga_ctrl_inst|cnt_v[2], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[4]~6 , vga_ctrl_inst|cnt_v[4]~6, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[4] , vga_ctrl_inst|cnt_v[4], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|always1~1 , vga_ctrl_inst|always1~1, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[1]~0 , vga_ctrl_inst|cnt_v[1]~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[1] , vga_ctrl_inst|cnt_v[1], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|always1~2 , vga_ctrl_inst|always1~2, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[3]~7 , vga_ctrl_inst|cnt_v[3]~7, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[3] , vga_ctrl_inst|cnt_v[3], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|LessThan6~0 , vga_ctrl_inst|LessThan6~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[5]~2 , vga_ctrl_inst|cnt_v[5]~2, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[5] , vga_ctrl_inst|cnt_v[5], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~14 , vga_ctrl_inst|Add1~14, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[7]~4 , vga_ctrl_inst|cnt_v[7]~4, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[7] , vga_ctrl_inst|cnt_v[7], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add1~18 , vga_ctrl_inst|Add1~18, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[9]~1 , vga_ctrl_inst|cnt_v[9]~1, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[9] , vga_ctrl_inst|cnt_v[9], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[6]~5 , vga_ctrl_inst|cnt_v[6]~5, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|cnt_v[6] , vga_ctrl_inst|cnt_v[6], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|always1~0 , vga_ctrl_inst|always1~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|LessThan1~0 , vga_ctrl_inst|LessThan1~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|LessThan6~1 , vga_ctrl_inst|LessThan6~1, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~1 , vga_ctrl_inst|pix_data_req~1, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~2 , vga_ctrl_inst|pix_data_req~2, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|LessThan2~1 , vga_ctrl_inst|LessThan2~1, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb_valid~0 , vga_ctrl_inst|rgb_valid~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~1 , vga_ctrl_inst|Add2~1, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~3 , vga_ctrl_inst|Add2~3, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~5 , vga_ctrl_inst|Add2~5, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~7 , vga_ctrl_inst|Add2~7, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~9 , vga_ctrl_inst|Add2~9, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~10 , vga_ctrl_inst|Add2~10, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~12 , vga_ctrl_inst|Add2~12, vga_colorbar, 1
+instance = comp, \vga_pic_inst|LessThan14~0 , vga_pic_inst|LessThan14~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~14 , vga_ctrl_inst|Add2~14, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|Add2~16 , vga_ctrl_inst|Add2~16, vga_colorbar, 1
+instance = comp, \vga_pic_inst|LessThan6~0 , vga_pic_inst|LessThan6~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~0 , vga_ctrl_inst|pix_data_req~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|LessThan4~0 , vga_ctrl_inst|LessThan4~0, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~3 , vga_ctrl_inst|pix_data_req~3, vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|pix_data_req~4 , vga_ctrl_inst|pix_data_req~4, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~4 , vga_pic_inst|pix_data~4, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~9 , vga_pic_inst|pix_data~9, vga_colorbar, 1
+instance = comp, \vga_pic_inst|LessThan17~0 , vga_pic_inst|LessThan17~0, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~6 , vga_pic_inst|pix_data~6, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[4]~10 , vga_pic_inst|pix_data[4]~10, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~11 , vga_pic_inst|pix_data~11, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~12 , vga_pic_inst|pix_data~12, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~13 , vga_pic_inst|pix_data~13, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[0] , vga_pic_inst|pix_data[0], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[0]~0 , vga_ctrl_inst|rgb[0]~0, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[4]~7 , vga_pic_inst|pix_data[4]~7, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~16 , vga_pic_inst|pix_data~16, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[4] , vga_pic_inst|pix_data[4], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[1]~1 , vga_ctrl_inst|rgb[1]~1, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~25 , vga_pic_inst|pix_data~25, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[8] , vga_pic_inst|pix_data[8], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[5]~2 , vga_ctrl_inst|rgb[5]~2, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~18 , vga_pic_inst|pix_data~18, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~14 , vga_pic_inst|pix_data~14, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~26 , vga_pic_inst|pix_data~26, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~19 , vga_pic_inst|pix_data~19, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[9] , vga_pic_inst|pix_data[9], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[7]~3 , vga_ctrl_inst|rgb[7]~3, vga_colorbar, 1
+instance = comp, \vga_pic_inst|LessThan2~2 , vga_pic_inst|LessThan2~2, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~20 , vga_pic_inst|pix_data~20, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~21 , vga_pic_inst|pix_data~21, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[10] , vga_pic_inst|pix_data[10], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[10]~4 , vga_ctrl_inst|rgb[10]~4, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~22 , vga_pic_inst|pix_data~22, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~23 , vga_pic_inst|pix_data~23, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[13] , vga_pic_inst|pix_data[13], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[11]~5 , vga_ctrl_inst|rgb[11]~5, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data~24 , vga_pic_inst|pix_data~24, vga_colorbar, 1
+instance = comp, \vga_pic_inst|pix_data[15] , vga_pic_inst|pix_data[15], vga_colorbar, 1
+instance = comp, \vga_ctrl_inst|rgb[12]~6 , vga_ctrl_inst|rgb[12]~6, vga_colorbar, 1
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_v.sdo b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_v.sdo
index 3c460d1..723e9d9 100644
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_v.sdo
+++ b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/simulation/modelsim/vga_colorbar_v.sdo
@@ -1,2108 +1,2108 @@
-// Copyright (C) 1991-2013 Altera Corporation
-// Your use of Altera Corporation's design tools, logic functions
-// and other software and tools, and its AMPP partner logic
-// functions, and any output files from any of the foregoing
-// (including device programming or simulation files), and any
-// associated documentation or information are expressly subject
-// to the terms and conditions of the Altera Program License
-// Subscription Agreement, Altera MegaCore Function License
-// Agreement, or other applicable license agreement, including,
-// without limitation, that your use is for the sole purpose of
-// programming logic devices manufactured by Altera and sold by
-// Altera or its authorized distributors. Please refer to the
-// applicable agreement for further details.
-
-
-//
-// Device: Altera EP4CE15F23C8 Package FBGA484
-//
-
-//
-// This file contains Slow Corner delays for the design using part EP4CE15F23C8,
-// with speed grade 8, core voltage 1.2V, and temperature 85 Celsius
-//
-
-//
-// This SDF file should be used for ModelSim (Verilog) only
-//
-
-(DELAYFILE
- (SDFVERSION "2.1")
- (DESIGN "vga_colorbar")
- (DATE "06/02/2023 04:42:20")
- (VENDOR "Altera")
- (PROGRAM "Quartus II 64-Bit")
- (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version")
- (DIVIDER .)
- (TIMESCALE 1 ps)
-
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (370:370:370) (460:460:460))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_pll")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
- (DELAY
- (ABSOLUTE
- (PORT areset (4503:4503:4503) (4503:4503:4503))
- (PORT inclk[0] (2340:2340:2340) (2340:2340:2340))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (960:960:960) (961:961:961))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (971:971:971) (950:950:950))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (973:973:973) (953:953:953))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (1006:1006:1006) (978:978:978))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (569:569:569) (599:599:599))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~10)
- (DELAY
- (ABSOLUTE
- (PORT datab (960:960:960) (939:939:939))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (558:558:558) (603:603:603))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (958:958:958) (962:962:962))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (397:397:397) (486:486:486))
- (PORT datad (343:343:343) (426:426:426))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (840:840:840) (788:788:788))
- (PORT datab (858:858:858) (836:836:836))
- (PORT datad (316:316:316) (356:356:356))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[4\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT datab (1230:1230:1230) (1146:1146:1146))
- (PORT datad (924:924:924) (874:874:874))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1160:1160:1160) (1082:1082:1082))
- (PORT datab (967:967:967) (899:899:899))
- (PORT datac (937:937:937) (910:910:910))
- (PORT datad (1184:1184:1184) (1098:1098:1098))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (412:412:412))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~15)
- (DELAY
- (ABSOLUTE
- (PORT dataa (841:841:841) (803:803:803))
- (PORT datab (509:509:509) (494:494:494))
- (PORT datac (245:245:245) (275:275:275))
- (PORT datad (262:262:262) (300:300:300))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~17)
- (DELAY
- (ABSOLUTE
- (PORT datab (337:337:337) (383:383:383))
- (PORT datac (842:842:842) (776:776:776))
- (PORT datad (291:291:291) (318:318:318))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_clk\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (806:806:806) (852:852:852))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (2339:2339:2339) (2308:2308:2308))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE hsync\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (2108:2108:2108) (2266:2266:2266))
- (IOPATH i o (3174:3174:3174) (3271:3271:3271))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE vsync\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1864:1864:1864) (2034:2034:2034))
- (IOPATH i o (3184:3184:3184) (3281:3281:3281))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[0\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (2928:2928:2928) (2696:2696:2696))
- (IOPATH i o (3271:3271:3271) (3174:3174:3174))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[1\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (2872:2872:2872) (2631:2631:2631))
- (IOPATH i o (3281:3281:3281) (3184:3184:3184))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[2\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (3183:3183:3183) (2900:2900:2900))
- (IOPATH i o (3281:3281:3281) (3184:3184:3184))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[3\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (3530:3530:3530) (3206:3206:3206))
- (IOPATH i o (3429:3429:3429) (3366:3366:3366))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[4\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (3524:3524:3524) (3201:3201:3201))
- (IOPATH i o (3409:3409:3409) (3346:3346:3346))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[5\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1540:1540:1540) (1460:1460:1460))
- (IOPATH i o (3409:3409:3409) (3346:3346:3346))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[6\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1581:1581:1581) (1475:1475:1475))
- (IOPATH i o (3409:3409:3409) (3346:3346:3346))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[7\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (2139:2139:2139) (1969:1969:1969))
- (IOPATH i o (3419:3419:3419) (3356:3356:3356))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[8\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1953:1953:1953) (1815:1815:1815))
- (IOPATH i o (3379:3379:3379) (3316:3316:3316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[9\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1947:1947:1947) (1781:1781:1781))
- (IOPATH i o (3399:3399:3399) (3336:3336:3336))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[10\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1885:1885:1885) (1698:1698:1698))
- (IOPATH i o (3389:3389:3389) (3326:3326:3326))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[11\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1851:1851:1851) (1680:1680:1680))
- (IOPATH i o (3389:3389:3389) (3326:3326:3326))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[12\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1486:1486:1486) (1329:1329:1329))
- (IOPATH i o (3399:3399:3399) (3336:3336:3336))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[13\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1506:1506:1506) (1334:1334:1334))
- (IOPATH i o (3389:3389:3389) (3326:3326:3326))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[14\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1091:1091:1091) (970:970:970))
- (IOPATH i o (3399:3399:3399) (3336:3336:3336))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_obuf")
- (INSTANCE rgb\[15\]\~output)
- (DELAY
- (ABSOLUTE
- (PORT i (1123:1123:1123) (999:999:999))
- (IOPATH i o (3389:3389:3389) (3326:3326:3326))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (366:366:366) (447:447:447))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_io_ibuf")
- (INSTANCE sys_rst_n\~input)
- (DELAY
- (ABSOLUTE
- (IOPATH i o (766:766:766) (812:812:812))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
- (DELAY
- (ABSOLUTE
- (PORT clk (2921:2921:2921) (2960:2960:2960))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (4667:4667:4667) (4459:4459:4459))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE rst_n\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (2254:2254:2254) (2277:2277:2277))
- (PORT datab (332:332:332) (408:408:408))
- (PORT datac (3743:3743:3743) (3918:3918:3918))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_clkctrl")
- (INSTANCE rst_n\~0clkctrl)
- (DELAY
- (ABSOLUTE
- (PORT inclk[0] (2220:2220:2220) (2115:2115:2115))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (370:370:370) (456:456:456))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~6)
- (DELAY
- (ABSOLUTE
- (PORT datab (367:367:367) (450:450:450))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~8)
- (DELAY
- (ABSOLUTE
- (PORT datab (359:359:359) (436:436:436))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~10)
- (DELAY
- (ABSOLUTE
- (PORT dataa (917:917:917) (889:889:889))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~12)
- (DELAY
- (ABSOLUTE
- (PORT datab (369:369:369) (448:448:448))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (362:362:362) (446:446:446))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~16)
- (DELAY
- (ABSOLUTE
- (PORT datab (402:402:402) (492:492:492))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add0\~18)
- (DELAY
- (ABSOLUTE
- (PORT datad (350:350:350) (435:435:435))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (848:848:848) (777:777:777))
- (PORT datac (240:240:240) (266:266:266))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (789:789:789) (706:706:706))
- (PORT datac (265:265:265) (291:291:291))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1878:1878:1878) (1848:1848:1848))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (660:660:660) (668:668:668))
- (PORT datab (390:390:390) (477:477:477))
- (PORT datac (853:853:853) (839:839:839))
- (PORT datad (328:328:328) (405:405:405))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (371:371:371) (461:461:461))
- (PORT datab (368:368:368) (451:451:451))
- (PORT datac (327:327:327) (412:412:412))
- (PORT datad (329:329:329) (405:405:405))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Equal0\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (895:895:895) (876:876:876))
- (PORT datab (741:741:741) (680:680:680))
- (PORT datac (724:724:724) (663:663:663))
- (PORT datad (917:917:917) (899:899:899))
- (IOPATH dataa combout (432:432:432) (446:446:446))
- (IOPATH datab combout (437:437:437) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_h\~2)
- (DELAY
- (ABSOLUTE
- (PORT datab (279:279:279) (305:305:305))
- (PORT datac (806:806:806) (740:740:740))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1845:1845:1845) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1877:1877:1877) (1847:1847:1847))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan2\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (396:396:396) (485:485:485))
- (PORT datad (342:342:342) (425:425:425))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan0\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (858:858:858) (841:841:841))
- (PORT datab (933:933:933) (885:885:885))
- (PORT datac (767:767:767) (704:704:704))
- (PORT datad (328:328:328) (401:401:401))
- (IOPATH dataa combout (453:453:453) (446:446:446))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (849:849:849) (802:802:802))
- (PORT datab (862:862:862) (841:841:841))
- (PORT datad (313:313:313) (352:352:352))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~8)
- (DELAY
- (ABSOLUTE
- (PORT dataa (875:875:875) (832:832:832))
- (PORT datab (857:857:857) (834:834:834))
- (PORT datad (317:317:317) (358:358:358))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1110:1110:1110) (1019:1019:1019))
- (PORT datab (856:856:856) (834:834:834))
- (PORT datad (318:318:318) (359:359:359))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1021:1021:1021) (997:997:997))
- (PORT datab (366:366:366) (449:449:449))
- (PORT datac (326:326:326) (408:408:408))
- (PORT datad (327:327:327) (401:401:401))
- (IOPATH dataa combout (432:432:432) (446:446:446))
- (IOPATH datab combout (437:437:437) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (1194:1194:1194) (1079:1079:1079))
- (PORT datab (860:860:860) (838:838:838))
- (PORT datad (315:315:315) (355:355:355))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (307:307:307) (351:351:351))
- (PORT datab (367:367:367) (450:450:450))
- (PORT datac (450:450:450) (427:427:427))
- (PORT datad (337:337:337) (417:417:417))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (943:943:943) (877:877:877))
- (PORT datab (856:856:856) (834:834:834))
- (PORT datad (318:318:318) (358:358:358))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan6\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (367:367:367) (450:450:450))
- (PORT datac (327:327:327) (409:409:409))
- (PORT datad (329:329:329) (402:402:402))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (893:893:893) (829:829:829))
- (PORT datab (860:860:860) (839:839:839))
- (PORT datad (315:315:315) (354:354:354))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1844:1844:1844) (1855:1855:1855))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1876:1876:1876) (1846:1846:1846))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~14)
- (DELAY
- (ABSOLUTE
- (PORT datab (569:569:569) (597:597:597))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (880:880:880) (846:846:846))
- (PORT datab (279:279:279) (305:305:305))
- (PORT datad (1206:1206:1206) (1115:1115:1115))
- (IOPATH dataa combout (421:421:421) (428:428:428))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1878:1878:1878) (1848:1848:1848))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add1\~18)
- (DELAY
- (ABSOLUTE
- (PORT datad (333:333:333) (411:411:411))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (880:880:880) (846:846:846))
- (PORT datab (280:280:280) (306:306:306))
- (PORT datad (1207:1207:1207) (1116:1116:1116))
- (IOPATH dataa combout (421:421:421) (428:428:428))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1878:1878:1878) (1848:1848:1848))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (314:314:314))
- (PORT datab (1265:1265:1265) (1163:1163:1163))
- (PORT datad (838:838:838) (794:794:794))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (462:462:462) (482:482:482))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1856:1856:1856))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1878:1878:1878) (1848:1848:1848))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|always1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (360:360:360) (443:443:443))
- (PORT datab (359:359:359) (435:435:435))
- (PORT datac (872:872:872) (866:866:866))
- (PORT datad (893:893:893) (890:890:890))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan1\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (288:288:288) (326:326:326))
- (PORT datab (377:377:377) (459:459:459))
- (PORT datac (959:959:959) (948:948:948))
- (PORT datad (261:261:261) (296:296:296))
- (IOPATH dataa combout (392:392:392) (407:407:407))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan6\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (367:367:367) (449:449:449))
- (PORT datad (336:336:336) (416:416:416))
- (IOPATH datab combout (435:435:435) (433:433:433))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (957:957:957) (961:961:961))
- (PORT datab (371:371:371) (451:451:451))
- (PORT datac (528:528:528) (560:560:560))
- (PORT datad (517:517:517) (552:552:552))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (457:457:457) (489:489:489))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (285:285:285) (323:323:323))
- (PORT datab (277:277:277) (302:302:302))
- (PORT datac (698:698:698) (629:629:629))
- (PORT datad (265:265:265) (300:300:300))
- (IOPATH dataa combout (432:432:432) (446:446:446))
- (IOPATH datab combout (437:437:437) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan2\~1)
- (DELAY
- (ABSOLUTE
- (PORT datab (930:930:930) (883:883:883))
- (PORT datac (848:848:848) (826:826:826))
- (PORT datad (326:326:326) (400:400:400))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb_valid\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (735:735:735) (684:684:684))
- (PORT datab (974:974:974) (944:944:944))
- (PORT datac (765:765:765) (703:703:703))
- (PORT datad (254:254:254) (280:280:280))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (455:455:455) (424:424:424))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (618:618:618) (628:628:628))
- (PORT datab (837:837:837) (806:806:806))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datab cout (565:565:565) (421:421:421))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (618:618:618) (628:628:628))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (570:570:570) (597:597:597))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (582:582:582) (607:607:607))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (853:853:853) (839:839:839))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~10)
- (DELAY
- (ABSOLUTE
- (PORT datab (620:620:620) (627:627:627))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datab cout (565:565:565) (421:421:421))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (855:855:855) (837:837:837))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan14\~0)
- (DELAY
- (ABSOLUTE
- (PORT datab (337:337:337) (383:383:383))
- (PORT datad (291:291:291) (318:318:318))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~14)
- (DELAY
- (ABSOLUTE
- (PORT dataa (644:644:644) (650:650:650))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH dataa cout (552:552:552) (416:416:416))
- (IOPATH datad combout (177:177:177) (155:155:155))
- (IOPATH cin combout (607:607:607) (577:577:577))
- (IOPATH cin cout (73:73:73) (73:73:73))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|Add2\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (619:619:619) (628:628:628))
- (IOPATH dataa combout (471:471:471) (481:481:481))
- (IOPATH cin combout (607:607:607) (577:577:577))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan6\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (839:839:839) (801:801:801))
- (PORT datab (278:278:278) (303:303:303))
- (PORT datac (273:273:273) (303:303:303))
- (PORT datad (274:274:274) (299:299:299))
- (IOPATH dataa combout (456:456:456) (486:486:486))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (306:306:306) (351:351:351))
- (PORT datac (955:955:955) (943:943:943))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datac combout (327:327:327) (316:316:316))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|LessThan4\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (767:767:767) (710:710:710))
- (PORT datab (976:976:976) (946:946:946))
- (PORT datac (764:764:764) (701:701:701))
- (PORT datad (257:257:257) (283:283:283))
- (IOPATH dataa combout (420:420:420) (428:428:428))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (736:736:736) (684:684:684))
- (PORT datab (976:976:976) (946:946:946))
- (PORT datac (723:723:723) (662:662:662))
- (PORT datad (256:256:256) (281:281:281))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (835:835:835) (812:812:812))
- (PORT datab (918:918:918) (854:854:854))
- (PORT datac (237:237:237) (264:264:264))
- (PORT datad (238:238:238) (257:257:257))
- (IOPATH dataa combout (392:392:392) (407:407:407))
- (IOPATH datab combout (393:393:393) (412:412:412))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~4)
- (DELAY
- (ABSOLUTE
- (PORT datab (1232:1232:1232) (1148:1148:1148))
- (PORT datad (847:847:847) (804:804:804))
- (IOPATH datab combout (472:472:472) (473:473:473))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~9)
- (DELAY
- (ABSOLUTE
- (PORT dataa (281:281:281) (313:313:313))
- (PORT datab (888:888:888) (823:823:823))
- (PORT datac (934:934:934) (907:907:907))
- (PORT datad (279:279:279) (305:305:305))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan17\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (888:888:888) (855:855:855))
- (PORT datab (966:966:966) (898:898:898))
- (PORT datac (934:934:934) (907:907:907))
- (PORT datad (1188:1188:1188) (1104:1104:1104))
- (IOPATH dataa combout (404:404:404) (398:398:398))
- (IOPATH datab combout (407:407:407) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (304:304:304) (351:351:351))
- (PORT datab (320:320:320) (350:350:350))
- (PORT datac (935:935:935) (908:908:908))
- (PORT datad (487:487:487) (468:468:468))
- (IOPATH dataa combout (481:481:481) (491:491:491))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[4\]\~10)
- (DELAY
- (ABSOLUTE
- (PORT datac (936:936:936) (910:910:910))
- (PORT datad (846:846:846) (803:803:803))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~11)
- (DELAY
- (ABSOLUTE
- (PORT dataa (903:903:903) (824:824:824))
- (PORT datab (338:338:338) (384:384:384))
- (PORT datac (795:795:795) (753:753:753))
- (PORT datad (291:291:291) (318:318:318))
- (IOPATH dataa combout (432:432:432) (446:446:446))
- (IOPATH datab combout (437:437:437) (436:436:436))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~12)
- (DELAY
- (ABSOLUTE
- (PORT dataa (305:305:305) (353:353:353))
- (PORT datab (304:304:304) (329:329:329))
- (PORT datac (243:243:243) (274:274:274))
- (PORT datad (802:802:802) (754:754:754))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~13)
- (DELAY
- (ABSOLUTE
- (PORT dataa (573:573:573) (537:537:537))
- (PORT datab (285:285:285) (315:315:315))
- (PORT datac (288:288:288) (315:315:315))
- (PORT datad (245:245:245) (270:270:270))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[0\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1880:1880:1880) (1849:1849:1849))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[0\]\~0)
- (DELAY
- (ABSOLUTE
- (PORT dataa (837:837:837) (815:815:815))
- (PORT datab (327:327:327) (381:381:381))
- (PORT datac (852:852:852) (839:839:839))
- (PORT datad (863:863:863) (814:814:814))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (455:455:455) (412:412:412))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\[4\]\~7)
- (DELAY
- (ABSOLUTE
- (PORT dataa (889:889:889) (856:856:856))
- (PORT datab (988:988:988) (944:944:944))
- (PORT datac (1120:1120:1120) (1040:1040:1040))
- (PORT datad (1190:1190:1190) (1106:1106:1106))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (435:435:435) (424:424:424))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~16)
- (DELAY
- (ABSOLUTE
- (PORT dataa (288:288:288) (326:326:326))
- (PORT datab (322:322:322) (356:356:356))
- (PORT datac (245:245:245) (278:278:278))
- (PORT datad (501:501:501) (465:465:465))
- (IOPATH dataa combout (471:471:471) (472:472:472))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[4\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1880:1880:1880) (1849:1849:1849))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[1\]\~1)
- (DELAY
- (ABSOLUTE
- (PORT dataa (834:834:834) (810:810:810))
- (PORT datab (917:917:917) (852:852:852))
- (PORT datac (284:284:284) (343:343:343))
- (PORT datad (912:912:912) (892:892:892))
- (IOPATH dataa combout (393:393:393) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~25)
- (DELAY
- (ABSOLUTE
- (PORT dataa (544:544:544) (510:510:510))
- (PORT datab (511:511:511) (499:499:499))
- (PORT datac (263:263:263) (289:289:289))
- (PORT datad (817:817:817) (766:766:766))
- (IOPATH dataa combout (453:453:453) (413:413:413))
- (IOPATH datab combout (455:455:455) (433:433:433))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[8\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1846:1846:1846) (1857:1857:1857))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1878:1878:1878) (1848:1848:1848))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[5\]\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (834:834:834) (810:810:810))
- (PORT datab (916:916:916) (852:852:852))
- (PORT datac (284:284:284) (343:343:343))
- (PORT datad (295:295:295) (365:365:365))
- (IOPATH dataa combout (393:393:393) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~18)
- (DELAY
- (ABSOLUTE
- (PORT dataa (902:902:902) (824:824:824))
- (PORT datab (336:336:336) (382:382:382))
- (PORT datac (790:790:790) (746:746:746))
- (PORT datad (291:291:291) (318:318:318))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~14)
- (DELAY
- (ABSOLUTE
- (PORT datab (314:314:314) (342:342:342))
- (PORT datac (796:796:796) (754:754:754))
- (PORT datad (299:299:299) (343:343:343))
- (IOPATH datab combout (473:473:473) (487:487:487))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~26)
- (DELAY
- (ABSOLUTE
- (PORT dataa (833:833:833) (794:794:794))
- (PORT datab (275:275:275) (299:299:299))
- (PORT datac (270:270:270) (300:300:300))
- (PORT datad (267:267:267) (285:285:285))
- (IOPATH dataa combout (448:448:448) (472:472:472))
- (IOPATH datab combout (454:454:454) (473:473:473))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~19)
- (DELAY
- (ABSOLUTE
- (PORT datab (930:930:930) (864:864:864))
- (PORT datad (500:500:500) (460:460:460))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[9\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1880:1880:1880) (1849:1849:1849))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
- (DELAY
- (ABSOLUTE
- (PORT dataa (836:836:836) (812:812:812))
- (PORT datab (919:919:919) (856:856:856))
- (PORT datac (284:284:284) (343:343:343))
- (PORT datad (829:829:829) (827:827:827))
- (IOPATH dataa combout (393:393:393) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|LessThan2\~2)
- (DELAY
- (ABSOLUTE
- (PORT dataa (527:527:527) (519:519:519))
- (PORT datab (984:984:984) (924:924:924))
- (PORT datad (1189:1189:1189) (1104:1104:1104))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (410:410:410) (408:408:408))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~20)
- (DELAY
- (ABSOLUTE
- (PORT dataa (890:890:890) (843:843:843))
- (PORT datac (849:849:849) (795:795:795))
- (PORT datad (908:908:908) (849:849:849))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~21)
- (DELAY
- (ABSOLUTE
- (PORT dataa (564:564:564) (524:524:524))
- (PORT datab (928:928:928) (861:861:861))
- (PORT datac (475:475:475) (447:447:447))
- (PORT datad (239:239:239) (257:257:257))
- (IOPATH dataa combout (405:405:405) (398:398:398))
- (IOPATH datab combout (455:455:455) (436:436:436))
- (IOPATH datac combout (327:327:327) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[10\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1880:1880:1880) (1849:1849:1849))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[10\]\~4)
- (DELAY
- (ABSOLUTE
- (PORT dataa (838:838:838) (815:815:815))
- (PORT datab (924:924:924) (861:861:861))
- (PORT datac (283:283:283) (342:342:342))
- (PORT datad (931:931:931) (915:915:915))
- (IOPATH dataa combout (393:393:393) (398:398:398))
- (IOPATH datab combout (393:393:393) (408:408:408))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~22)
- (DELAY
- (ABSOLUTE
- (PORT dataa (305:305:305) (353:353:353))
- (PORT datab (886:886:886) (822:822:822))
- (PORT datac (936:936:936) (910:910:910))
- (PORT datad (282:282:282) (309:309:309))
- (IOPATH dataa combout (392:392:392) (398:398:398))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~23)
- (DELAY
- (ABSOLUTE
- (PORT dataa (317:317:317) (352:352:352))
- (PORT datab (283:283:283) (311:311:311))
- (PORT datac (455:455:455) (430:430:430))
- (PORT datad (285:285:285) (317:317:317))
- (IOPATH dataa combout (421:421:421) (418:418:418))
- (IOPATH datab combout (494:494:494) (496:496:496))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[13\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1880:1880:1880) (1849:1849:1849))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[11\]\~5)
- (DELAY
- (ABSOLUTE
- (PORT dataa (835:835:835) (811:811:811))
- (PORT datab (918:918:918) (911:911:911))
- (PORT datac (284:284:284) (343:343:343))
- (PORT datad (857:857:857) (807:807:807))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (455:455:455) (412:412:412))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_pic_inst\|pix_data\~24)
- (DELAY
- (ABSOLUTE
- (PORT dataa (289:289:289) (328:328:328))
- (PORT datab (325:325:325) (360:360:360))
- (PORT datac (455:455:455) (430:430:430))
- (PORT datad (276:276:276) (301:301:301))
- (IOPATH dataa combout (471:471:471) (453:453:453))
- (IOPATH datab combout (472:472:472) (452:452:452))
- (IOPATH datac combout (324:324:324) (316:316:316))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
- (CELL
- (CELLTYPE "dffeas")
- (INSTANCE vga_pic_inst\|pix_data\[15\])
- (DELAY
- (ABSOLUTE
- (PORT clk (1848:1848:1848) (1858:1858:1858))
- (PORT d (99:99:99) (115:115:115))
- (PORT clrn (1880:1880:1880) (1849:1849:1849))
- (IOPATH (posedge clk) q (261:261:261) (261:261:261))
- (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
- )
- )
- (TIMINGCHECK
- (HOLD d (posedge clk) (212:212:212))
- )
- )
- (CELL
- (CELLTYPE "cycloneive_lcell_comb")
- (INSTANCE vga_ctrl_inst\|rgb\[12\]\~6)
- (DELAY
- (ABSOLUTE
- (PORT dataa (837:837:837) (814:814:814))
- (PORT datab (844:844:844) (810:810:810))
- (PORT datac (283:283:283) (342:342:342))
- (PORT datad (862:862:862) (812:812:812))
- (IOPATH dataa combout (461:461:461) (481:481:481))
- (IOPATH datab combout (455:455:455) (412:412:412))
- (IOPATH datac combout (327:327:327) (315:315:315))
- (IOPATH datad combout (177:177:177) (155:155:155))
- )
- )
- )
-)
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+
+//
+// Device: Altera EP4CE15F23C8 Package FBGA484
+//
+
+//
+// This file contains Slow Corner delays for the design using part EP4CE15F23C8,
+// with speed grade 8, core voltage 1.2V, and temperature 85 Celsius
+//
+
+//
+// This SDF file should be used for ModelSim (Verilog) only
+//
+
+(DELAYFILE
+ (SDFVERSION "2.1")
+ (DESIGN "vga_colorbar")
+ (DATE "04/29/2025 20:26:33")
+ (VENDOR "Altera")
+ (PROGRAM "Quartus II 32-bit")
+ (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition")
+ (DIVIDER .)
+ (TIMESCALE 1 ps)
+
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (370:370:370) (460:460:460))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_pll")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1)
+ (DELAY
+ (ABSOLUTE
+ (PORT areset (4503:4503:4503) (4503:4503:4503))
+ (PORT inclk[0] (2340:2340:2340) (2340:2340:2340))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (960:960:960) (961:961:961))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (971:971:971) (950:950:950))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (973:973:973) (953:953:953))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1006:1006:1006) (978:978:978))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (569:569:569) (599:599:599))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (960:960:960) (939:939:939))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (558:558:558) (603:603:603))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (958:958:958) (962:962:962))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (397:397:397) (486:486:486))
+ (PORT datad (343:343:343) (426:426:426))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (840:840:840) (788:788:788))
+ (PORT datab (858:858:858) (836:836:836))
+ (PORT datad (316:316:316) (356:356:356))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[4\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1230:1230:1230) (1146:1146:1146))
+ (PORT datad (924:924:924) (874:874:874))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1160:1160:1160) (1082:1082:1082))
+ (PORT datab (967:967:967) (899:899:899))
+ (PORT datac (937:937:937) (910:910:910))
+ (PORT datad (1184:1184:1184) (1098:1098:1098))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (412:412:412))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~15)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (841:841:841) (803:803:803))
+ (PORT datab (509:509:509) (494:494:494))
+ (PORT datac (245:245:245) (275:275:275))
+ (PORT datad (262:262:262) (300:300:300))
+ (IOPATH dataa combout (404:404:404) (398:398:398))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~17)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (337:337:337) (383:383:383))
+ (PORT datac (842:842:842) (776:776:776))
+ (PORT datad (291:291:291) (318:318:318))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_clk\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (806:806:806) (852:852:852))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (2339:2339:2339) (2308:2308:2308))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE hsync\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (2108:2108:2108) (2266:2266:2266))
+ (IOPATH i o (3174:3174:3174) (3271:3271:3271))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE vsync\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1864:1864:1864) (2034:2034:2034))
+ (IOPATH i o (3184:3184:3184) (3281:3281:3281))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[0\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (2928:2928:2928) (2696:2696:2696))
+ (IOPATH i o (3271:3271:3271) (3174:3174:3174))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[1\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (2872:2872:2872) (2631:2631:2631))
+ (IOPATH i o (3281:3281:3281) (3184:3184:3184))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[2\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (3183:3183:3183) (2900:2900:2900))
+ (IOPATH i o (3281:3281:3281) (3184:3184:3184))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[3\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (3530:3530:3530) (3206:3206:3206))
+ (IOPATH i o (3429:3429:3429) (3366:3366:3366))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[4\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (3524:3524:3524) (3201:3201:3201))
+ (IOPATH i o (3409:3409:3409) (3346:3346:3346))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[5\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1540:1540:1540) (1460:1460:1460))
+ (IOPATH i o (3409:3409:3409) (3346:3346:3346))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[6\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1581:1581:1581) (1475:1475:1475))
+ (IOPATH i o (3409:3409:3409) (3346:3346:3346))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[7\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (2139:2139:2139) (1969:1969:1969))
+ (IOPATH i o (3419:3419:3419) (3356:3356:3356))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[8\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1953:1953:1953) (1815:1815:1815))
+ (IOPATH i o (3379:3379:3379) (3316:3316:3316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[9\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1947:1947:1947) (1781:1781:1781))
+ (IOPATH i o (3399:3399:3399) (3336:3336:3336))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[10\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1885:1885:1885) (1698:1698:1698))
+ (IOPATH i o (3389:3389:3389) (3326:3326:3326))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[11\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1851:1851:1851) (1680:1680:1680))
+ (IOPATH i o (3389:3389:3389) (3326:3326:3326))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[12\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1486:1486:1486) (1329:1329:1329))
+ (IOPATH i o (3399:3399:3399) (3336:3336:3336))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[13\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1506:1506:1506) (1334:1334:1334))
+ (IOPATH i o (3389:3389:3389) (3326:3326:3326))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[14\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1091:1091:1091) (970:970:970))
+ (IOPATH i o (3399:3399:3399) (3336:3336:3336))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_obuf")
+ (INSTANCE rgb\[15\]\~output)
+ (DELAY
+ (ABSOLUTE
+ (PORT i (1123:1123:1123) (999:999:999))
+ (IOPATH i o (3389:3389:3389) (3326:3326:3326))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (366:366:366) (447:447:447))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_io_ibuf")
+ (INSTANCE sys_rst_n\~input)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH i o (766:766:766) (812:812:812))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync)
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (2921:2921:2921) (2960:2960:2960))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (4667:4667:4667) (4459:4459:4459))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE rst_n\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (2254:2254:2254) (2277:2277:2277))
+ (PORT datab (332:332:332) (408:408:408))
+ (PORT datac (3743:3743:3743) (3918:3918:3918))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_clkctrl")
+ (INSTANCE rst_n\~0clkctrl)
+ (DELAY
+ (ABSOLUTE
+ (PORT inclk[0] (2220:2220:2220) (2115:2115:2115))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (370:370:370) (456:456:456))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (367:367:367) (450:450:450))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (359:359:359) (436:436:436))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (917:917:917) (889:889:889))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (369:369:369) (448:448:448))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (362:362:362) (446:446:446))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (402:402:402) (492:492:492))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add0\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (350:350:350) (435:435:435))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (848:848:848) (777:777:777))
+ (PORT datac (240:240:240) (266:266:266))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (789:789:789) (706:706:706))
+ (PORT datac (265:265:265) (291:291:291))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1846:1846:1846) (1857:1857:1857))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1878:1878:1878) (1848:1848:1848))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (660:660:660) (668:668:668))
+ (PORT datab (390:390:390) (477:477:477))
+ (PORT datac (853:853:853) (839:839:839))
+ (PORT datad (328:328:328) (405:405:405))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (371:371:371) (461:461:461))
+ (PORT datab (368:368:368) (451:451:451))
+ (PORT datac (327:327:327) (412:412:412))
+ (PORT datad (329:329:329) (405:405:405))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Equal0\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (895:895:895) (876:876:876))
+ (PORT datab (741:741:741) (680:680:680))
+ (PORT datac (724:724:724) (663:663:663))
+ (PORT datad (917:917:917) (899:899:899))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_h\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (279:279:279) (305:305:305))
+ (PORT datac (806:806:806) (740:740:740))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_h\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1845:1845:1845) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1877:1877:1877) (1847:1847:1847))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan2\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (396:396:396) (485:485:485))
+ (PORT datad (342:342:342) (425:425:425))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan0\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (858:858:858) (841:841:841))
+ (PORT datab (933:933:933) (885:885:885))
+ (PORT datac (767:767:767) (704:704:704))
+ (PORT datad (328:328:328) (401:401:401))
+ (IOPATH dataa combout (453:453:453) (446:446:446))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (849:849:849) (802:802:802))
+ (PORT datab (862:862:862) (841:841:841))
+ (PORT datad (313:313:313) (352:352:352))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~8)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (875:875:875) (832:832:832))
+ (PORT datab (857:857:857) (834:834:834))
+ (PORT datad (317:317:317) (358:358:358))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[2\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1110:1110:1110) (1019:1019:1019))
+ (PORT datab (856:856:856) (834:834:834))
+ (PORT datad (318:318:318) (359:359:359))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1021:1021:1021) (997:997:997))
+ (PORT datab (366:366:366) (449:449:449))
+ (PORT datac (326:326:326) (408:408:408))
+ (PORT datad (327:327:327) (401:401:401))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (1194:1194:1194) (1079:1079:1079))
+ (PORT datab (860:860:860) (838:838:838))
+ (PORT datad (315:315:315) (355:355:355))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[1\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (307:307:307) (351:351:351))
+ (PORT datab (367:367:367) (450:450:450))
+ (PORT datac (450:450:450) (427:427:427))
+ (PORT datad (337:337:337) (417:417:417))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (943:943:943) (877:877:877))
+ (PORT datab (856:856:856) (834:834:834))
+ (PORT datad (318:318:318) (358:358:358))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[3\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (367:367:367) (450:450:450))
+ (PORT datac (327:327:327) (409:409:409))
+ (PORT datad (329:329:329) (402:402:402))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (893:893:893) (829:829:829))
+ (PORT datab (860:860:860) (839:839:839))
+ (PORT datad (315:315:315) (354:354:354))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[5\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1844:1844:1844) (1855:1855:1855))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1876:1876:1876) (1846:1846:1846))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (569:569:569) (597:597:597))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (880:880:880) (846:846:846))
+ (PORT datab (279:279:279) (305:305:305))
+ (PORT datad (1206:1206:1206) (1115:1115:1115))
+ (IOPATH dataa combout (421:421:421) (428:428:428))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[7\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1846:1846:1846) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1878:1878:1878) (1848:1848:1848))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add1\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT datad (333:333:333) (411:411:411))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (880:880:880) (846:846:846))
+ (PORT datab (280:280:280) (306:306:306))
+ (PORT datad (1207:1207:1207) (1116:1116:1116))
+ (IOPATH dataa combout (421:421:421) (428:428:428))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1846:1846:1846) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1878:1878:1878) (1848:1848:1848))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (281:281:281) (314:314:314))
+ (PORT datab (1265:1265:1265) (1163:1163:1163))
+ (PORT datad (838:838:838) (794:794:794))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (462:462:462) (482:482:482))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_ctrl_inst\|cnt_v\[6\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1846:1846:1846) (1856:1856:1856))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1878:1878:1878) (1848:1848:1848))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|always1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (360:360:360) (443:443:443))
+ (PORT datab (359:359:359) (435:435:435))
+ (PORT datac (872:872:872) (866:866:866))
+ (PORT datad (893:893:893) (890:890:890))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan1\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (288:288:288) (326:326:326))
+ (PORT datab (377:377:377) (459:459:459))
+ (PORT datac (959:959:959) (948:948:948))
+ (PORT datad (261:261:261) (296:296:296))
+ (IOPATH dataa combout (392:392:392) (407:407:407))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan6\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (367:367:367) (449:449:449))
+ (PORT datad (336:336:336) (416:416:416))
+ (IOPATH datab combout (435:435:435) (433:433:433))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (957:957:957) (961:961:961))
+ (PORT datab (371:371:371) (451:451:451))
+ (PORT datac (528:528:528) (560:560:560))
+ (PORT datad (517:517:517) (552:552:552))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (457:457:457) (489:489:489))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (285:285:285) (323:323:323))
+ (PORT datab (277:277:277) (302:302:302))
+ (PORT datac (698:698:698) (629:629:629))
+ (PORT datad (265:265:265) (300:300:300))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (930:930:930) (883:883:883))
+ (PORT datac (848:848:848) (826:826:826))
+ (PORT datad (326:326:326) (400:400:400))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb_valid\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (735:735:735) (684:684:684))
+ (PORT datab (974:974:974) (944:944:944))
+ (PORT datac (765:765:765) (703:703:703))
+ (PORT datad (254:254:254) (280:280:280))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (455:455:455) (424:424:424))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (618:618:618) (628:628:628))
+ (PORT datab (837:837:837) (806:806:806))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (618:618:618) (628:628:628))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (570:570:570) (597:597:597))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (582:582:582) (607:607:607))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (853:853:853) (839:839:839))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (620:620:620) (627:627:627))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datab cout (565:565:565) (421:421:421))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (855:855:855) (837:837:837))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan14\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (337:337:337) (383:383:383))
+ (PORT datad (291:291:291) (318:318:318))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (644:644:644) (650:650:650))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH dataa cout (552:552:552) (416:416:416))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ (IOPATH cin cout (73:73:73) (73:73:73))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|Add2\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (619:619:619) (628:628:628))
+ (IOPATH dataa combout (471:471:471) (481:481:481))
+ (IOPATH cin combout (607:607:607) (577:577:577))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan6\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (839:839:839) (801:801:801))
+ (PORT datab (278:278:278) (303:303:303))
+ (PORT datac (273:273:273) (303:303:303))
+ (PORT datad (274:274:274) (299:299:299))
+ (IOPATH dataa combout (456:456:456) (486:486:486))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (306:306:306) (351:351:351))
+ (PORT datac (955:955:955) (943:943:943))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|LessThan4\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (767:767:767) (710:710:710))
+ (PORT datab (976:976:976) (946:946:946))
+ (PORT datac (764:764:764) (701:701:701))
+ (PORT datad (257:257:257) (283:283:283))
+ (IOPATH dataa combout (420:420:420) (428:428:428))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (736:736:736) (684:684:684))
+ (PORT datab (976:976:976) (946:946:946))
+ (PORT datac (723:723:723) (662:662:662))
+ (PORT datad (256:256:256) (281:281:281))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (435:435:435) (424:424:424))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|pix_data_req\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (835:835:835) (812:812:812))
+ (PORT datab (918:918:918) (854:854:854))
+ (PORT datac (237:237:237) (264:264:264))
+ (PORT datad (238:238:238) (257:257:257))
+ (IOPATH dataa combout (392:392:392) (407:407:407))
+ (IOPATH datab combout (393:393:393) (412:412:412))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (1232:1232:1232) (1148:1148:1148))
+ (PORT datad (847:847:847) (804:804:804))
+ (IOPATH datab combout (472:472:472) (473:473:473))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~9)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (281:281:281) (313:313:313))
+ (PORT datab (888:888:888) (823:823:823))
+ (PORT datac (934:934:934) (907:907:907))
+ (PORT datad (279:279:279) (305:305:305))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan17\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (888:888:888) (855:855:855))
+ (PORT datab (966:966:966) (898:898:898))
+ (PORT datac (934:934:934) (907:907:907))
+ (PORT datad (1188:1188:1188) (1104:1104:1104))
+ (IOPATH dataa combout (404:404:404) (398:398:398))
+ (IOPATH datab combout (407:407:407) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (304:304:304) (351:351:351))
+ (PORT datab (320:320:320) (350:350:350))
+ (PORT datac (935:935:935) (908:908:908))
+ (PORT datad (487:487:487) (468:468:468))
+ (IOPATH dataa combout (481:481:481) (491:491:491))
+ (IOPATH datab combout (435:435:435) (424:424:424))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[4\]\~10)
+ (DELAY
+ (ABSOLUTE
+ (PORT datac (936:936:936) (910:910:910))
+ (PORT datad (846:846:846) (803:803:803))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~11)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (903:903:903) (824:824:824))
+ (PORT datab (338:338:338) (384:384:384))
+ (PORT datac (795:795:795) (753:753:753))
+ (PORT datad (291:291:291) (318:318:318))
+ (IOPATH dataa combout (432:432:432) (446:446:446))
+ (IOPATH datab combout (437:437:437) (436:436:436))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~12)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (305:305:305) (353:353:353))
+ (PORT datab (304:304:304) (329:329:329))
+ (PORT datac (243:243:243) (274:274:274))
+ (PORT datad (802:802:802) (754:754:754))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~13)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (573:573:573) (537:537:537))
+ (PORT datab (285:285:285) (315:315:315))
+ (PORT datac (288:288:288) (315:315:315))
+ (PORT datad (245:245:245) (270:270:270))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[0\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1848:1848:1848) (1858:1858:1858))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1880:1880:1880) (1849:1849:1849))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[0\]\~0)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (837:837:837) (815:815:815))
+ (PORT datab (327:327:327) (381:381:381))
+ (PORT datac (852:852:852) (839:839:839))
+ (PORT datad (863:863:863) (814:814:814))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\[4\]\~7)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (889:889:889) (856:856:856))
+ (PORT datab (988:988:988) (944:944:944))
+ (PORT datac (1120:1120:1120) (1040:1040:1040))
+ (PORT datad (1190:1190:1190) (1106:1106:1106))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (435:435:435) (424:424:424))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~16)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (288:288:288) (326:326:326))
+ (PORT datab (322:322:322) (356:356:356))
+ (PORT datac (245:245:245) (278:278:278))
+ (PORT datad (501:501:501) (465:465:465))
+ (IOPATH dataa combout (471:471:471) (472:472:472))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[4\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1848:1848:1848) (1858:1858:1858))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1880:1880:1880) (1849:1849:1849))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[1\]\~1)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (834:834:834) (810:810:810))
+ (PORT datab (917:917:917) (852:852:852))
+ (PORT datac (284:284:284) (343:343:343))
+ (PORT datad (912:912:912) (892:892:892))
+ (IOPATH dataa combout (393:393:393) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~25)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (544:544:544) (510:510:510))
+ (PORT datab (511:511:511) (499:499:499))
+ (PORT datac (263:263:263) (289:289:289))
+ (PORT datad (817:817:817) (766:766:766))
+ (IOPATH dataa combout (453:453:453) (413:413:413))
+ (IOPATH datab combout (455:455:455) (433:433:433))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[8\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1846:1846:1846) (1857:1857:1857))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1878:1878:1878) (1848:1848:1848))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[5\]\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (834:834:834) (810:810:810))
+ (PORT datab (916:916:916) (852:852:852))
+ (PORT datac (284:284:284) (343:343:343))
+ (PORT datad (295:295:295) (365:365:365))
+ (IOPATH dataa combout (393:393:393) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~18)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (902:902:902) (824:824:824))
+ (PORT datab (336:336:336) (382:382:382))
+ (PORT datac (790:790:790) (746:746:746))
+ (PORT datad (291:291:291) (318:318:318))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~14)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (314:314:314) (342:342:342))
+ (PORT datac (796:796:796) (754:754:754))
+ (PORT datad (299:299:299) (343:343:343))
+ (IOPATH datab combout (473:473:473) (487:487:487))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~26)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (833:833:833) (794:794:794))
+ (PORT datab (275:275:275) (299:299:299))
+ (PORT datac (270:270:270) (300:300:300))
+ (PORT datad (267:267:267) (285:285:285))
+ (IOPATH dataa combout (448:448:448) (472:472:472))
+ (IOPATH datab combout (454:454:454) (473:473:473))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~19)
+ (DELAY
+ (ABSOLUTE
+ (PORT datab (930:930:930) (864:864:864))
+ (PORT datad (500:500:500) (460:460:460))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[9\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1848:1848:1848) (1858:1858:1858))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1880:1880:1880) (1849:1849:1849))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (836:836:836) (812:812:812))
+ (PORT datab (919:919:919) (856:856:856))
+ (PORT datac (284:284:284) (343:343:343))
+ (PORT datad (829:829:829) (827:827:827))
+ (IOPATH dataa combout (393:393:393) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|LessThan2\~2)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (527:527:527) (519:519:519))
+ (PORT datab (984:984:984) (924:924:924))
+ (PORT datad (1189:1189:1189) (1104:1104:1104))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (410:410:410) (408:408:408))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~20)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (890:890:890) (843:843:843))
+ (PORT datac (849:849:849) (795:795:795))
+ (PORT datad (908:908:908) (849:849:849))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~21)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (564:564:564) (524:524:524))
+ (PORT datab (928:928:928) (861:861:861))
+ (PORT datac (475:475:475) (447:447:447))
+ (PORT datad (239:239:239) (257:257:257))
+ (IOPATH dataa combout (405:405:405) (398:398:398))
+ (IOPATH datab combout (455:455:455) (436:436:436))
+ (IOPATH datac combout (327:327:327) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[10\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1848:1848:1848) (1858:1858:1858))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1880:1880:1880) (1849:1849:1849))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[10\]\~4)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (838:838:838) (815:815:815))
+ (PORT datab (924:924:924) (861:861:861))
+ (PORT datac (283:283:283) (342:342:342))
+ (PORT datad (931:931:931) (915:915:915))
+ (IOPATH dataa combout (393:393:393) (398:398:398))
+ (IOPATH datab combout (393:393:393) (408:408:408))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~22)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (305:305:305) (353:353:353))
+ (PORT datab (886:886:886) (822:822:822))
+ (PORT datac (936:936:936) (910:910:910))
+ (PORT datad (282:282:282) (309:309:309))
+ (IOPATH dataa combout (392:392:392) (398:398:398))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~23)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (317:317:317) (352:352:352))
+ (PORT datab (283:283:283) (311:311:311))
+ (PORT datac (455:455:455) (430:430:430))
+ (PORT datad (285:285:285) (317:317:317))
+ (IOPATH dataa combout (421:421:421) (418:418:418))
+ (IOPATH datab combout (494:494:494) (496:496:496))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[13\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1848:1848:1848) (1858:1858:1858))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1880:1880:1880) (1849:1849:1849))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[11\]\~5)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (835:835:835) (811:811:811))
+ (PORT datab (918:918:918) (911:911:911))
+ (PORT datac (284:284:284) (343:343:343))
+ (PORT datad (857:857:857) (807:807:807))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_pic_inst\|pix_data\~24)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (289:289:289) (328:328:328))
+ (PORT datab (325:325:325) (360:360:360))
+ (PORT datac (455:455:455) (430:430:430))
+ (PORT datad (276:276:276) (301:301:301))
+ (IOPATH dataa combout (471:471:471) (453:453:453))
+ (IOPATH datab combout (472:472:472) (452:452:452))
+ (IOPATH datac combout (324:324:324) (316:316:316))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "dffeas")
+ (INSTANCE vga_pic_inst\|pix_data\[15\])
+ (DELAY
+ (ABSOLUTE
+ (PORT clk (1848:1848:1848) (1858:1858:1858))
+ (PORT d (99:99:99) (115:115:115))
+ (PORT clrn (1880:1880:1880) (1849:1849:1849))
+ (IOPATH (posedge clk) q (261:261:261) (261:261:261))
+ (IOPATH (negedge clrn) q (247:247:247) (247:247:247))
+ )
+ )
+ (TIMINGCHECK
+ (HOLD d (posedge clk) (212:212:212))
+ )
+ )
+ (CELL
+ (CELLTYPE "cycloneive_lcell_comb")
+ (INSTANCE vga_ctrl_inst\|rgb\[12\]\~6)
+ (DELAY
+ (ABSOLUTE
+ (PORT dataa (837:837:837) (814:814:814))
+ (PORT datab (844:844:844) (810:810:810))
+ (PORT datac (283:283:283) (342:342:342))
+ (PORT datad (862:862:862) (812:812:812))
+ (IOPATH dataa combout (461:461:461) (481:481:481))
+ (IOPATH datab combout (455:455:455) (412:412:412))
+ (IOPATH datac combout (327:327:327) (315:315:315))
+ (IOPATH datad combout (177:177:177) (155:155:155))
+ )
+ )
+ )
+)
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qsf b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qsf
index d74cf77..ae79bc5 100644
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qsf
+++ b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qsf
@@ -66,6 +66,7 @@ set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
+
set_location_assignment PIN_T22 -to sys_clk
set_location_assignment PIN_U20 -to sys_rst_n
set_location_assignment PIN_AB17 -to vsync
@@ -96,4 +97,4 @@ set_global_assignment -name VERILOG_FILE ../rtl/vga_colorbar.v
set_global_assignment -name QIP_FILE ip_core/clk_gen/clk_gen.qip
set_global_assignment -name EDA_TEST_BENCH_FILE ../sim/tb_vga_colorbar.v -section_id tb_vga_colorbar
set_global_assignment -name EDA_TEST_BENCH_FILE ../sim/tb_vga_ctrl.v -section_id tb_vga_ctrl
-set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
diff --git a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qws b/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qws
deleted file mode 100644
index 27fd4ea..0000000
--- a/smh-ac415-fpga/examples/09_vga/vga/quartus_prj/vga_colorbar.qws
+++ /dev/null
Binary files differ
diff --git a/spartan6/hp_lcd_driver/clkgen_cyclone4_impl.vhdl b/spartan6/hp_lcd_driver/clkgen_cyclone4_impl.vhdl
index f9c4797..5a60e85 100644
--- a/spartan6/hp_lcd_driver/clkgen_cyclone4_impl.vhdl
+++ b/spartan6/hp_lcd_driver/clkgen_cyclone4_impl.vhdl
@@ -164,21 +164,21 @@ BEGIN
altpll_component : altpll
GENERIC MAP (
bandwidth_type => "AUTO",
- clk0_divide_by => 1,
+ clk0_divide_by => 5,
clk0_duty_cycle => 50,
- clk0_multiply_by => 2,
+ clk0_multiply_by => 12,
clk0_phase_shift => "0",
clk1_divide_by => 5,
clk1_duty_cycle => 50,
clk1_multiply_by => 8,
clk1_phase_shift => "0",
- clk2_divide_by => 5,
+ clk2_divide_by => 25,
clk2_duty_cycle => 50,
- clk2_multiply_by => 4,
+ clk2_multiply_by => 24,
clk2_phase_shift => "0",
- clk3_divide_by => 5,
+ clk3_divide_by => 25,
clk3_duty_cycle => 50,
- clk3_multiply_by => 2,
+ clk3_multiply_by => 12,
clk3_phase_shift => "0",
compensate_clock => "CLK0",
inclk0_input_frequency => 20000,
@@ -269,10 +269,10 @@ END SYN;
-- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
-- Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
-- Retrieval info: PRIVATE: DUTY_CYCLE3 STRING "50.00000000"
--- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "100.000000"
+-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "120.000000"
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "80.000000"
--- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "40.000000"
--- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE3 STRING "20.000000"
+-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "48.000000"
+-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE3 STRING "24.000000"
-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
@@ -306,10 +306,10 @@ END SYN;
-- Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1"
-- Retrieval info: PRIVATE: MULT_FACTOR3 NUMERIC "1"
-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
--- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000"
+-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "120.00000000"
-- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "80.00000000"
--- Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "40.00000000"
--- Retrieval info: PRIVATE: OUTPUT_FREQ3 STRING "20.00000000"
+-- Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "48.00000000"
+-- Retrieval info: PRIVATE: OUTPUT_FREQ3 STRING "24.00000000"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "1"
@@ -369,21 +369,21 @@ END SYN;
-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
--- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
+-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "5"
-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
--- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2"
+-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "12"
-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
-- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "5"
-- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
-- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "8"
-- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
--- Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "5"
+-- Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "25"
-- Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50"
--- Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "4"
+-- Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "24"
-- Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0"
--- Retrieval info: CONSTANT: CLK3_DIVIDE_BY NUMERIC "5"
+-- Retrieval info: CONSTANT: CLK3_DIVIDE_BY NUMERIC "25"
-- Retrieval info: CONSTANT: CLK3_DUTY_CYCLE NUMERIC "50"
--- Retrieval info: CONSTANT: CLK3_MULTIPLY_BY NUMERIC "2"
+-- Retrieval info: CONSTANT: CLK3_MULTIPLY_BY NUMERIC "12"
-- Retrieval info: CONSTANT: CLK3_PHASE_SHIFT STRING "0"
-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
diff --git a/spartan6/hp_lcd_driver/ep4ce15.cfg b/spartan6/hp_lcd_driver/ep4ce15.cfg
new file mode 100644
index 0000000..28de10c
--- /dev/null
+++ b/spartan6/hp_lcd_driver/ep4ce15.cfg
@@ -0,0 +1,11 @@
+interface usb_blaster
+usb_blaster_lowlevel_driver ftdi
+set CHIPNAME ep4ce15
+set FPGA_TAPID 0x020f20dd
+
+jtag newtap $CHIPNAME tap -irlen 10 -ircapture 0x01 -irmask 0x3 -expected-id $FPGA_TAPID
+
+init
+scan_chain
+svf -tap $CHIPNAME.tap ./build_ep4ce15/hp_lcd_driver.svf
+exit
diff --git a/spartan6/hp_lcd_driver/hp_lcd_driver.ep4ce15_qsft b/spartan6/hp_lcd_driver/hp_lcd_driver.ep4ce15_qsft
index 93c6e74..a39658f 100644
--- a/spartan6/hp_lcd_driver/hp_lcd_driver.ep4ce15_qsft
+++ b/spartan6/hp_lcd_driver/hp_lcd_driver.ep4ce15_qsft
@@ -19,41 +19,66 @@ set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVCMOS"
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
-#set_location_assignment PIN_T22 -to clk_50m
-#set_location_assignment PIN_U20 -to sys_rst_n
-#
-#set_location_assignment PIN_H21 -to hdmi_c_p
-#set_location_assignment PIN_H22 -to hdmi_c_n
-#
-#set_location_assignment PIN_F21 -to hdmi_r_p
-#set_location_assignment PIN_F22 -to hdmi_r_n
-#
-#set_location_assignment PIN_E21 -to hdmi_g_p
-#set_location_assignment PIN_E22 -to hdmi_g_n
-#
-#set_location_assignment PIN_D21 -to hdmi_b_p
-#set_location_assignment PIN_D22 -to hdmi_b_n
-#
-#
-#set_location_assignment PIN_AB17 -to vsync_out
-#set_location_assignment PIN_AA18 -to hsync_out
-#
-#set_location_assignment PIN_J21 -to r_out
-##set_location_assignment PIN_K21 -to rgb[14]
-##set_location_assignment PIN_L22 -to rgb[13]
-##set_location_assignment PIN_L21 -to rgb[12]
-##set_location_assignment PIN_M22 -to rgb[11]
-#set_location_assignment PIN_M21 -to g_out
-##set_location_assignment PIN_N21 -to rgb[9]
-##set_location_assignment PIN_N20 -to rgb[8]
-##set_location_assignment PIN_U22 -to rgb[7]
-##set_location_assignment PIN_U21 -to rgb[6]
-##set_location_assignment PIN_W20 -to rgb[5]
-#set_location_assignment PIN_W19 -to b_out
-##set_location_assignment PIN_Y21 -to rgb[3]
-##set_location_assignment PIN_AB19 -to rgb[2]
-##set_location_assignment PIN_AA19 -to rgb[1]
-##set_location_assignment PIN_AB18 -to rgb[0]
+set_location_assignment PIN_T22 -to clk_50m
+set_location_assignment PIN_U20 -to sys_rst_n
+
+set_location_assignment PIN_AB17 -to vsync_out
+set_location_assignment PIN_AA18 -to hsync_out
+
+set_location_assignment PIN_J21 -to r_out
+set_instance_assignment -name IO_STANDARD "2.5 V" -to r_out
+#set_location_assignment PIN_K21 -to rgb[14]
+#set_location_assignment PIN_L22 -to rgb[13]
+#set_location_assignment PIN_L21 -to rgb[12]
+#set_location_assignment PIN_M22 -to rgb[11]
+set_location_assignment PIN_M21 -to g_out
+#set_location_assignment PIN_N21 -to rgb[9]
+#set_location_assignment PIN_N20 -to rgb[8]
+#set_location_assignment PIN_U22 -to rgb[7]
+#set_location_assignment PIN_U21 -to rgb[6]
+#set_location_assignment PIN_W20 -to rgb[5]
+set_location_assignment PIN_W19 -to b_out
+#set_location_assignment PIN_Y21 -to rgb[3]
+#set_location_assignment PIN_AB19 -to rgb[2]
+#set_location_assignment PIN_AA19 -to rgb[1]
+#set_location_assignment PIN_AB18 -to rgb[0]
+
+
+
+set_location_assignment PIN_H21 -to hdmi_c_p
+set_location_assignment PIN_H22 -to hdmi_c_n
+set_instance_assignment -name IO_STANDARD "2.5 V" -to hdmi_c_p
+set_instance_assignment -name IO_STANDARD "2.5 V" -to hdmi_c_n
+set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to hdmi_c_p
+set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to hdmi_c_n
+
+set_location_assignment PIN_F21 -to hdmi_r_p
+set_location_assignment PIN_F22 -to hdmi_r_n
+set_instance_assignment -name IO_STANDARD "2.5 V" -to hdmi_r_p
+set_instance_assignment -name IO_STANDARD "2.5 V" -to hdmi_r_n
+set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to hdmi_r_p
+set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to hdmi_r_n
+
+set_location_assignment PIN_E21 -to hdmi_g_p
+set_location_assignment PIN_E22 -to hdmi_g_n
+set_instance_assignment -name IO_STANDARD "2.5 V" -to hdmi_g_p
+set_instance_assignment -name IO_STANDARD "2.5 V" -to hdmi_g_n
+set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to hdmi_g_p
+set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to hdmi_g_n
+
+set_location_assignment PIN_D21 -to hdmi_b_p
+set_location_assignment PIN_D22 -to hdmi_b_n
+set_instance_assignment -name IO_STANDARD "2.5 V" -to hdmi_b_p
+set_instance_assignment -name IO_STANDARD "2.5 V" -to hdmi_b_n
+set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to hdmi_b_p
+set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to hdmi_b_n
+
+
+set_location_assignment PIN_A10 -to hsync_in
+set_location_assignment PIN_C10 -to vsync_in
+set_location_assignment PIN_A13 -to video[0]
+set_location_assignment PIN_C13 -to video[1]
+
diff --git a/spartan6/hp_lcd_driver/hp_lcd_driver.vhdl b/spartan6/hp_lcd_driver/hp_lcd_driver.vhdl
index a16b193..51d5c38 100644
--- a/spartan6/hp_lcd_driver/hp_lcd_driver.vhdl
+++ b/spartan6/hp_lcd_driver/hp_lcd_driver.vhdl
@@ -111,8 +111,10 @@ begin
g <= x"ff" when rd_data(0) = '1' else
x"ff" when rd_data(1) = '1' else
x"00";
- b <= x"ff" when rd_data(1) = '1' else
- x"00";
+ --b <= x"ff" when rd_data(1) = '1' else
+ -- x"00";
+
+ b<=x"ff";
diff --git a/spartan6/hp_lcd_driver/output_formatter.vhdl b/spartan6/hp_lcd_driver/output_formatter.vhdl
index 32e16c0..f490c8b 100644
--- a/spartan6/hp_lcd_driver/output_formatter.vhdl
+++ b/spartan6/hp_lcd_driver/output_formatter.vhdl
@@ -69,7 +69,7 @@ begin
if v /= (v_total-1) then
v <= v+1;
h <= 0;
- elsif vsync_in_ne = '1' then
+ else --if vsync_in_ne = '1' then
h <= 0;
v <= 0;
end if;
diff --git a/spartan6/hp_lcd_driver/output_stage.vhdl b/spartan6/hp_lcd_driver/output_stage.vhdl
index 8dc91ae..19e9d35 100644
--- a/spartan6/hp_lcd_driver/output_stage.vhdl
+++ b/spartan6/hp_lcd_driver/output_stage.vhdl
@@ -175,12 +175,12 @@ begin
tmds_c_out_p => hdmi_c_p,
tmds_c_out_n => hdmi_c_n,
- tmds_r_out_p => hdmi_r_p,
- tmds_r_out_n => hdmi_r_n,
+ tmds_r_out_p => hdmi_b_p,
+ tmds_r_out_n => hdmi_b_n,
tmds_g_out_p => hdmi_g_p,
tmds_g_out_n => hdmi_g_n,
- tmds_b_out_p => hdmi_b_p,
- tmds_b_out_n => hdmi_b_n
+ tmds_b_out_p => hdmi_r_p,
+ tmds_b_out_n => hdmi_r_n
);
diff --git a/spartan6/hp_lcd_driver/prog b/spartan6/hp_lcd_driver/prog
new file mode 100755
index 0000000..a637b38
--- /dev/null
+++ b/spartan6/hp_lcd_driver/prog
@@ -0,0 +1,14 @@
+#!/bin/bash
+
+SOF=output_files/vga_colorbar.sof
+SVF=vga_colorbar.svf
+RBF=vga_colorbar.rbf
+run_quartus quartus_cpf -c -q 1MHZ -g 3.3 -n p ${SOF} ${SVF}
+#run_quartus quartus_cpf -c ${SOF} ${RBF}
+
+#OPENOCD="/root/projects/hp_instrument_lcds/fpga/prefix/bin/openocd -f interface/altera-usb-blaster.cfg -f fpga/altera-cycloneiv.cfg"
+#${OPENOCD} -c "init; pld load cycloneiv.pld vga_colorbar.rbf; shutdown; quit"
+
+OPENOCD="/root/projects/hp_instrument_lcds/fpga/prefix/bin/openocd -f interface/altera-usb-blaster.cfg -f ep4ce15.cfg"
+${OPENOCD} -c quit
+
diff --git a/spartan6/hp_lcd_driver/tmds_output_cyclone4.vhdl b/spartan6/hp_lcd_driver/tmds_output_cyclone4.vhdl
index fe3f850..1421211 100644
--- a/spartan6/hp_lcd_driver/tmds_output_cyclone4.vhdl
+++ b/spartan6/hp_lcd_driver/tmds_output_cyclone4.vhdl
@@ -30,7 +30,7 @@ end tmds_output;
architecture beh of tmds_output is
- signal b : natural;
+ signal b : natural:=0;
begin
@@ -38,10 +38,10 @@ begin
process (pclk_phy, b, sys_rst_n)
begin
- if sys_rst_n = '1' then
+ if sys_rst_n = '0' then
b <=0;
elsif rising_edge(pclk_phy) then
- if b = 5 then
+ if b = 4 then
b <=0;
else
b <=b+1;
diff --git a/spartan6/hp_lcd_driver/tmds_phy_cyclone4.vhdl b/spartan6/hp_lcd_driver/tmds_phy_cyclone4.vhdl
index d4801c5..bd4835e 100644
--- a/spartan6/hp_lcd_driver/tmds_phy_cyclone4.vhdl
+++ b/spartan6/hp_lcd_driver/tmds_phy_cyclone4.vhdl
@@ -19,20 +19,26 @@ end tmds_phy_cyclone4;
architecture beh of tmds_phy_cyclone4 is
+
signal d_rise : std_logic_vector(4 downto 0);
signal d_fall : std_logic_vector(4 downto 0);
signal out_p : std_logic_vector(0 downto 0);
signal out_n : std_logic_vector(0 downto 0);
+signal bb:natural;
+
begin
+
process (pclk_phy)
begin
if rising_edge(pclk_phy) then
- if b = 5 then
+ if b = 4 then
d_rise <= (4 => din(8), 3 => din(6), 2 => din(4), 1 => din(2), 0 => din(0));
d_fall <= (4 => din(9), 3 => din(7), 2 => din(5), 1 => din(3), 0 => din(1));
+ --d_rise <= (4 => din(1), 3 => din(3), 2 => din(5), 1 => din(7), 0 => din(9));
+ --d_fall <= (4 => din(0), 3 => din(2), 2 => din(4), 1 => din(6), 0 => din(8));
else
d_rise(3 downto 0) <= d_rise(4 downto 1);
d_fall(3 downto 0) <= d_fall(4 downto 1);
@@ -40,6 +46,7 @@ begin
end if;
end process;
+
obuf_p : ALTDDIO_OUT
generic map (
extend_oe_disable => "OFF",
@@ -80,4 +87,5 @@ begin
);
tmds_out_n <= out_n(0);
+
end beh;