diff options
author | root <root@new-fish.medaka.james.internal> | 2025-08-06 21:09:44 +0100 |
---|---|---|
committer | root <root@new-fish.medaka.james.internal> | 2025-08-06 21:09:44 +0100 |
commit | 4470dfba4fb0b940fd4c056263ca78af92532a61 (patch) | |
tree | 5a92ba1cafe6b13e7037766ca6f171591eb1fb2e | |
parent | af2641d5d4a6c1ee63d24d1ef7038cb9aabcaa40 (diff) | |
download | hp_instrument_lcds-4470dfba4fb0b940fd4c056263ca78af92532a61.tar.gz hp_instrument_lcds-4470dfba4fb0b940fd4c056263ca78af92532a61.tar.bz2 hp_instrument_lcds-4470dfba4fb0b940fd4c056263ca78af92532a61.zip |
Wednesday's progress - happy working a7, changes to bt475 hat
-rw-r--r-- | fpga/hp_lcd_driver/Makefile | 7 | ||||
-rw-r--r-- | fpga/hp_lcd_driver/artix7.mk | 4 | ||||
-rw-r--r-- | fpga/hp_lcd_driver/artix7_hp_lcd_driver.tcl | 1 | ||||
-rw-r--r-- | fpga/hp_lcd_driver/artix7_ip/mmcm_0.tcl | 10 | ||||
-rwxr-xr-x | fpga/hp_lcd_driver/flash_a7 | 5 | ||||
-rw-r--r-- | fpga/hp_lcd_driver/hp_lcd_driver.vhdl | 49 | ||||
-rw-r--r-- | fpga/hp_lcd_driver/input_formatter.vhdl | 4 | ||||
-rw-r--r-- | fpga/hp_lcd_driver/input_stage.vhdl | 11 | ||||
-rw-r--r-- | fpga/hp_lcd_driver/output_formatter.vhdl | 36 | ||||
-rw-r--r-- | fpga/hp_lcd_driver/output_stage.vhdl | 80 | ||||
-rwxr-xr-x | fpga/hp_lcd_driver/prog_a7 | 2 | ||||
-rw-r--r-- | fpga/hp_lcd_driver/rando_a7.tcl | 3 | ||||
-rw-r--r-- | fpga/hp_lcd_driver/rando_a7.xdc | 27 | ||||
-rwxr-xr-x | fpga/hp_lcd_driver/scripts/xilinx_bit_to_bin.pl | 80 | ||||
-rw-r--r-- | fpga/hp_lcd_driver/vram_artix7.vhdl | 10 | ||||
-rw-r--r-- | openocd/bscan_spi/bscan_spi_xc7a35t.bit | bin | 0 -> 261513 bytes | |||
-rw-r--r-- | pcbs/bt475-interface/bt475-interface.kicad_pcb | 2802 | ||||
-rw-r--r-- | pcbs/bt475-interface/bt475-interface.kicad_pro | 6 | ||||
-rw-r--r-- | pcbs/bt475-interface/bt475-interface.kicad_sch | 779 |
19 files changed, 3005 insertions, 911 deletions
diff --git a/fpga/hp_lcd_driver/Makefile b/fpga/hp_lcd_driver/Makefile index e9f1df7..458951d 100644 --- a/fpga/hp_lcd_driver/Makefile +++ b/fpga/hp_lcd_driver/Makefile @@ -1,7 +1,10 @@ TARGETS= rando_a7 #smh-ac415b #spartan6 #ep4ce6 smh-ac415 #fish:smh-ac415 -default: ${TARGETS:%=build_%/hp_lcd_driver.svf} +better_default: build_rando_a7/hp_lcd_driver.svf + ./prog_a7 + +default: ${TARGETS:%=build_%/hp_lcd_driver.svf} @@ -9,8 +12,6 @@ smh-ac415: build_smh-ac415/hp_lcd_driver.svf spartan6: build_spartan6/hp_lcd_driver.svf rando_a7: build_rando_a7/out/hp_lcd_driver.bit - - build_%/hp_lcd_driver.svf: dummy ${MAKE} -f ${@:build_%/hp_lcd_driver.svf=%}.mk diff --git a/fpga/hp_lcd_driver/artix7.mk b/fpga/hp_lcd_driver/artix7.mk index 578468c..8c83e78 100644 --- a/fpga/hp_lcd_driver/artix7.mk +++ b/fpga/hp_lcd_driver/artix7.mk @@ -7,6 +7,7 @@ IP= \ BIT=${BUILD}/out/hp_lcd_driver.bit +BIN=${BUILD}/out/hp_lcd_driver.bin IP_STAMP=${IP:artix7_ip/%.tcl=${BUILD}/ip/%/stamp} SRCS= ${IP} \ @@ -35,11 +36,12 @@ SRCS= ${IP} \ OPENOCD=openocd -f openocd/${BOARD}.cfg -default: ${BUILD}/build.stamp +default: ${BUILD}/build.stamp ${BUILD}/build.stamp:${SRCS} ${IP_STAMP} mkdir -p ${BUILD} (cd ${BUILD} && BOARD=${BOARD} ../scripts/vivado -mode batch -source ../artix7_hp_lcd_driver.tcl) + scripts/xilinx_bit_to_bin.pl ${BIT} ${BIN} touch $@ ${BUILD}/ip/%/stamp:artix7_ip/%.tcl diff --git a/fpga/hp_lcd_driver/artix7_hp_lcd_driver.tcl b/fpga/hp_lcd_driver/artix7_hp_lcd_driver.tcl index 684e493..3859382 100644 --- a/fpga/hp_lcd_driver/artix7_hp_lcd_driver.tcl +++ b/fpga/hp_lcd_driver/artix7_hp_lcd_driver.tcl @@ -24,6 +24,7 @@ read_vhdl -vhdl2008 -library work { ../clkgen_artix7.vhdl ../debounce.vhdl ../de set generics {} append generics { } "video_width=$video_width" +append generics { } "input_video_width=$input_video_width" append generics { } "BOARD=\"$board\"" append generics { } "use_pclk=$use_pclk" diff --git a/fpga/hp_lcd_driver/artix7_ip/mmcm_0.tcl b/fpga/hp_lcd_driver/artix7_ip/mmcm_0.tcl index a49c8f2..dda6633 100644 --- a/fpga/hp_lcd_driver/artix7_ip/mmcm_0.tcl +++ b/fpga/hp_lcd_driver/artix7_ip/mmcm_0.tcl @@ -9,12 +9,12 @@ set_property -dict [list \ CONFIG.CLKOUT2_USED {true} \ CONFIG.CLKOUT3_USED {true} \ CONFIG.CLKOUT4_USED {true} \ - CONFIG.CLKOUT1_REQUESTED_OUT_FREQ {240} \ - CONFIG.CLKOUT2_REQUESTED_OUT_FREQ {80} \ - CONFIG.CLKOUT3_REQUESTED_OUT_FREQ {48} \ - CONFIG.CLKOUT4_REQUESTED_OUT_FREQ {24} \ + CONFIG.CLKOUT1_REQUESTED_OUT_FREQ {260} \ + CONFIG.CLKOUT2_REQUESTED_OUT_FREQ {86.667} \ + CONFIG.CLKOUT3_REQUESTED_OUT_FREQ {52} \ + CONFIG.CLKOUT4_REQUESTED_OUT_FREQ {26} \ CONFIG.CLKIN1_JITTER_PS {200.0} \ - CONFIG.MMCM_CLKFBOUT_MULT_F {24.000} \ + CONFIG.MMCM_CLKFBOUT_MULT_F {26.000} \ CONFIG.MMCM_CLKIN1_PERIOD {20.000} \ CONFIG.MMCM_CLKIN2_PERIOD {10.0} \ CONFIG.MMCM_CLKOUT0_DIVIDE_F {5.000} \ diff --git a/fpga/hp_lcd_driver/flash_a7 b/fpga/hp_lcd_driver/flash_a7 new file mode 100755 index 0000000..caf46ec --- /dev/null +++ b/fpga/hp_lcd_driver/flash_a7 @@ -0,0 +1,5 @@ +#!/bin/bash +BIN=./build_rando_a7/out/hp_lcd_driver.bit +SPIBIT=../../openocd/bscan_spi/bscan_spi_xc7a35t.bit +../../openocd/prefix/bin/openocd -f interface/altera-usb-blaster.cfg -f cpld/xilinx-xc7.cfg -f cpld/jtagspi.cfg -c init -c "jtagspi_init xc7.pld ${SPIBIT}; jtagspi_program $BIN 0" -c shutdown + diff --git a/fpga/hp_lcd_driver/hp_lcd_driver.vhdl b/fpga/hp_lcd_driver/hp_lcd_driver.vhdl index 95a38a1..94199b9 100644 --- a/fpga/hp_lcd_driver/hp_lcd_driver.vhdl +++ b/fpga/hp_lcd_driver/hp_lcd_driver.vhdl @@ -11,7 +11,8 @@ use work.all; entity hp_lcd_driver is - generic (video_width : natural := 2; + generic (input_video_width : natural := 2; + video_width : natural :=2; addr_width : natural := 18; phase_slip : natural := 320; i_clk_multiple : natural := 4; @@ -19,7 +20,7 @@ entity hp_lcd_driver is target : string := "artix7"); port (clk_50m : in std_logic; sys_rst_n : in std_logic; - video : in std_logic_vector(video_width -1 downto 0); + video : in std_logic_vector(input_video_width -1 downto 0); hsync_in : in std_logic; vsync_in : in std_logic; pclk_in : in std_logic; @@ -44,8 +45,10 @@ end hp_lcd_driver; architecture Behavioral of hp_lcd_driver is + signal video_lut : std_logic_vector (video_width-1 downto 0); signal wr_addr : std_logic_vector(addr_width-1 downto 0); signal wr_data : std_logic_vector(video_width-1 downto 0); + signal wr_data_b : std_logic_vector(video_width-1 downto 0); signal wr_en : std_logic; signal rd_addr : std_logic_vector(addr_width-1 downto 0); @@ -98,6 +101,16 @@ begin ); +video_lut <= "1111" when video(0)='1' else + "1011" when video(1)='1' else + "1100" when video(2)='1' else + "1010" when video(3)='1' else + "0011" when video(4)='1' else + "1110" when video(5)='1' else + "1001" when video(6)='1' else + "1101" when video(7)='1' else + "0000"; + process (i_clk, sys_rst_n) begin @@ -136,7 +149,7 @@ begin clk_multiple => i_clk_multiple, -- HP54502A -- phase => 1, --- h_front_porch => 210, +-- h_front_porch => 200, -- h_active => 592, -- v_front_porch => 1, -- v_active => 384, @@ -145,7 +158,7 @@ begin -- v_stride => 262143, -- HP54522A phase => 1, - h_front_porch => 213, + h_front_porch => 190, h_active => 640, v_front_porch => 22, v_active => 384, @@ -158,7 +171,7 @@ begin port map ( sys_rst_n => sys_rst_n, clk => i_clk, - video_in => video, + video_in => video_lut, pclk_in => pclk_in, hsync_in => not hsync_in, vsync_in => not vsync_in, @@ -196,6 +209,7 @@ begin -- wr_data <="01" when (h=0) or (h=383) or (v=0) or (v=591) -- else "00"; -- + vram0 : entity work.vram generic map ( video_width => video_width, @@ -216,9 +230,24 @@ begin -- r<=x"00"; -- b<=x"00"; - r <= rd_data(1 downto 0) & "000000"; - g <= rd_data(3 downto 2) & "000000"; - b <= rd_data(5 downto 4) & "000000"; + + + + r<=x"ff" when rd_data(0)='1' else + x"00"; + +-- r<=x"ff" when rd_data(0)='1' and rd_data(3)='1' else +-- x"80" when rd_data(0)='1' else +-- x"00"; + + g<=x"ff" when rd_data(1)='1' and rd_data(3)='1' else + x"80" when rd_data(1)='1' else + x"00"; + b<=x"ff" when rd_data(2)='1' and rd_data(3)='1' else + x"80" when rd_data(2)='1' else + x"00"; + + --"ff" when rd_data(1) = '1' else -- x"80" when rd_data(0) = '1' else @@ -261,7 +290,7 @@ begin -- HP54522A h_active => 384, h_sync_start => 400, - h_sync_end => 440, + h_sync_end => 456, h_total => 660, -- h_active => 417, -- h_sync_start => 440, @@ -294,7 +323,7 @@ begin clk_x2 => o_clk_x2, clk_phy => o_clk_phy, sys_rst_n => sys_rst_n, - vsync_in => vsync_in, + vsync_in => not vsync_in, r_in => r, g_in => g, b_in => b, diff --git a/fpga/hp_lcd_driver/input_formatter.vhdl b/fpga/hp_lcd_driver/input_formatter.vhdl index 35916f5..f8b27c2 100644 --- a/fpga/hp_lcd_driver/input_formatter.vhdl +++ b/fpga/hp_lcd_driver/input_formatter.vhdl @@ -72,7 +72,7 @@ begin port map( clk => clk, sig => pclk, - e => pclk_ne, + ne => pclk_ne, pe => pclk_pe); @@ -131,7 +131,7 @@ begin wren <= '0'; end if; else - wren <= pclk_pe; + wren <= pclk_ne; end if; if wren = '1' then diff --git a/fpga/hp_lcd_driver/input_stage.vhdl b/fpga/hp_lcd_driver/input_stage.vhdl index c124a59..1316b6e 100644 --- a/fpga/hp_lcd_driver/input_stage.vhdl +++ b/fpga/hp_lcd_driver/input_stage.vhdl @@ -71,7 +71,7 @@ begin pclk_sync : entity work.synchronizer - generic map(stages => sync_stages) + generic map(stages => sync_stages + debounce_stages+1) port map ( clk => clk, i => pclk_in, @@ -95,13 +95,6 @@ begin ); - pclk_debounce : entity work.debounce - generic map(stages => debounce_stages) - port map( - clk => clk, - i => s_pclk, - o => d_pclk); - hsync_debounce : entity work.debounce generic map(stages => debounce_stages) port map( @@ -135,7 +128,7 @@ begin port map ( sys_rst_n => sys_rst_n, clk => clk, - pclk => d_pclk, + pclk => s_pclk, hsync => d_hsync, vsync => d_vsync, addr_out => addr, diff --git a/fpga/hp_lcd_driver/output_formatter.vhdl b/fpga/hp_lcd_driver/output_formatter.vhdl index 558c222..1f9e89f 100644 --- a/fpga/hp_lcd_driver/output_formatter.vhdl +++ b/fpga/hp_lcd_driver/output_formatter.vhdl @@ -26,8 +26,7 @@ entity output_formatter is blank_out : out std_logic; vsync_out : out std_logic; hsync_out : out std_logic; - h_grid : out std_logic; - v_grid : out std_logic + grid_out : out std_logic ); end output_formatter; @@ -42,11 +41,11 @@ architecture beh of output_formatter is signal h : natural; signal v : natural; - signal blank : std_logic; signal vblank : std_logic; signal vsync : std_logic; signal hsync : std_logic; + signal grid : std_logic; begin @@ -71,7 +70,7 @@ begin if v /= (v_total-1) then v <= v+1; h <= 0; - else --if vsync_in_ne = '1' then + else --if vsync_in_ne = '1' then -- JMM if we synchronise the vsyncs then the display goes to sleep h <= 0; v <= 0; end if; @@ -89,17 +88,16 @@ begin vblank <= '1'; vsync <= '0'; hsync <= '0'; + grid <='0'; elsif rising_edge(clk) then - if h = 0 then if v = 0 then - --row_addr <= std_logic_vector(to_unsigned(-10*v_stride,row_addr'length)); row_addr <= std_logic_vector(to_unsigned(v_stride, row_addr'length)); - --addr <= std_logic_vector(to_unsigned(-10*v_stride,row_addr'length)); addr <= (others => '0'); + --addr <= std_logic_vector(to_unsigned(h_stride, addr'length)); blank <= '0'; vblank <= '0'; - elsif v = v_active then + elsif v = v_active then vblank <= '1'; elsif v = v_sync_start then vsync <= '1'; @@ -108,7 +106,8 @@ begin else blank <= vblank; row_addr <= std_logic_vector(unsigned(row_addr)+v_stride); - addr <= row_addr; + addr <= row_addr; + --addr <= std_logic_vector(unsigned(row_addr)+h_stride); end if; elsif h = h_active then blank <= '1'; @@ -119,22 +118,27 @@ begin else addr <= std_logic_vector(unsigned(addr)+h_stride); end if; + + grid <= '1' when h = 0 else + '1' when h=10 else + '1' when h=h_active-11 else + '1' when h=h_active-1 else + '1' when v=0 else + '1' when v=10 else + '1' when v=v_active-11 else + '1' when v=v_active-1 else + '0'; + end if; end process; - h_grid <= '1' when (h mod 32) = 0 --- h_grid <= '1' when (h = 0) or (h = (h_active-1)) -else '0'; - - v_grid <= '1' when (v mod 32) = 0 --- v_grid <= '1' when (v = 0) or (v = (v_active-1)) -else '0'; addr_out <= addr; blank_out <= blank; hsync_out <= hsync; vsync_out <= vsync; + grid_out <= grid; end beh; diff --git a/fpga/hp_lcd_driver/output_stage.vhdl b/fpga/hp_lcd_driver/output_stage.vhdl index 56f4ae4..b43dc50 100644 --- a/fpga/hp_lcd_driver/output_stage.vhdl +++ b/fpga/hp_lcd_driver/output_stage.vhdl @@ -75,8 +75,7 @@ architecture beh of output_stage is signal g : std_logic_vector(7 downto 0); signal b : std_logic_vector(7 downto 0); - signal h_grid : std_logic; - signal v_grid : std_logic; + signal grid : std_logic; signal r_p10 : std_logic_vector(9 downto 0); signal g_p10 : std_logic_vector(9 downto 0); @@ -125,59 +124,49 @@ begin blank_out => blank, vsync_out => vsync, hsync_out => hsync, - h_grid => h_grid, - v_grid => v_grid + grid_out => grid ); addr_out <= addr; --- dg : entity work.delay --- generic map(stages => 1) --- port map ( --- clk => clk, --- i => h_grid or v_grid, --- o => grid_d --- ); + dg : entity work.delay + generic map(stages => 2) + port map ( + clk => clk, + i => grid, + o => grid_d + ); - r <= r_in; + r <= r_in; g <= g_in; b <= b_in; --- b<=x"00" when v_grid='0' --- else x"ff"; - --- r<=x"00" when h_grid='0' --- else x"ff"; - --- b<=x"00" when v_grid='0' and h_grid='0' --- else x"ff"; - --- --- dh : entity work.delay --- generic map(stages => 10) --- port map ( --- clk => clk, --- i => hsync, --- o => hsync_d --- ); --- --- --- --- dv : entity work.delay --- generic map(stages => 10) --- port map ( --- clk => clk, --- i => vsync, --- o => vsync_d --- ); --- --- --- - dn : entity work.delay - generic map(stages => 1) + + dh : entity work.delay + generic map(stages => 2) + port map ( + clk => clk, + i => hsync, + o => hsync_d + ); + + + + dv : entity work.delay + generic map(stages => 2) + port map ( + clk => clk, + i => vsync, + o => vsync_d + ); + + + + db : entity work.delay + generic map(stages => 2) port map ( clk => clk, i => blank, @@ -185,9 +174,6 @@ begin ); - hsync_d <= hsync; - vsync_d <= vsync; - analog : entity work.output_analog port map( diff --git a/fpga/hp_lcd_driver/prog_a7 b/fpga/hp_lcd_driver/prog_a7 index c10ee8f..6421562 100755 --- a/fpga/hp_lcd_driver/prog_a7 +++ b/fpga/hp_lcd_driver/prog_a7 @@ -1,4 +1,4 @@ #!/bin/bash -BIT=./build-rando_a7/out/hp_lcd_driver.bit +BIT=./build_rando_a7/out/hp_lcd_driver.bit ../../openocd/prefix/bin/openocd -f interface/altera-usb-blaster.cfg -f cpld/xilinx-xc7.cfg -c init -c "pld load xc7.pld $BIT" -c shutdown diff --git a/fpga/hp_lcd_driver/rando_a7.tcl b/fpga/hp_lcd_driver/rando_a7.tcl index cfaa74d..cb14260 100644 --- a/fpga/hp_lcd_driver/rando_a7.tcl +++ b/fpga/hp_lcd_driver/rando_a7.tcl @@ -2,4 +2,5 @@ set part_num "xc7a35tfgg484-2" set normal_xdc "../rando_a7.xdc" set use_pclk 1 -set video_width 6 +set input_video_width 8 +set video_width 4 diff --git a/fpga/hp_lcd_driver/rando_a7.xdc b/fpga/hp_lcd_driver/rando_a7.xdc index 04b2cac..3f3c24d 100644 --- a/fpga/hp_lcd_driver/rando_a7.xdc +++ b/fpga/hp_lcd_driver/rando_a7.xdc @@ -9,12 +9,12 @@ set_property IOSTANDARD LVCMOS33 [get_ports {led}] #set_property PACKAGE_PIN T1 [get_ports {led_1}] #set_property IOSTANDARD LVCMOS33 [get_ports {led_1}] -set_property PACKAGE_PIN R3 [get_ports {hdmi_r_p}] -set_property PACKAGE_PIN R2 [get_ports {hdmi_r_n}] +set_property PACKAGE_PIN U3 [get_ports {hdmi_r_p}] +set_property PACKAGE_PIN V3 [get_ports {hdmi_r_n}] set_property PACKAGE_PIN R6 [get_ports {hdmi_g_p}] set_property PACKAGE_PIN T6 [get_ports {hdmi_g_n}] -set_property PACKAGE_PIN U3 [get_ports {hdmi_b_p}] -set_property PACKAGE_PIN V3 [get_ports {hdmi_b_n}] +set_property PACKAGE_PIN R3 [get_ports {hdmi_b_p}] +set_property PACKAGE_PIN R2 [get_ports {hdmi_b_n}] set_property PACKAGE_PIN Y3 [get_ports {hdmi_c_p}] set_property PACKAGE_PIN AA3 [get_ports {hdmi_c_n}] @@ -53,8 +53,8 @@ set_property PACKAGE_PIN N18 [get_ports {video[2]}] set_property PACKAGE_PIN K18 [get_ports {video[3]}] set_property PACKAGE_PIN M18 [get_ports {video[4]}] set_property PACKAGE_PIN M15 [get_ports {video[5]}] -#set_property PACKAGE_PIN U20 [get_ports {video[6]}] -#set_property PACKAGE_PIN T21 [get_ports {video[7]}] +set_property PACKAGE_PIN U20 [get_ports {video[6]}] +set_property PACKAGE_PIN T21 [get_ports {video[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {video[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {video[1]}] @@ -62,8 +62,8 @@ set_property IOSTANDARD LVCMOS33 [get_ports {video[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {video[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {video[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {video[5]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {video[6]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {video[7]}] +set_property IOSTANDARD LVCMOS33 [get_ports {video[6]}] +set_property IOSTANDARD LVCMOS33 [get_ports {video[7]}] set_property PACKAGE_PIN W19 [get_ports {pclk_in}] set_property PACKAGE_PIN R18 [get_ports {vsync_in}] @@ -74,7 +74,6 @@ set_property PACKAGE_PIN P15 [get_ports {b_out}] set_property PACKAGE_PIN P14 [get_ports {i_clk_out}] set_property PACKAGE_PIN V17 [get_ports {hsync_out}] set_property PACKAGE_PIN N13 [get_ports {vsync_out}] -set_property PACKAGE_PIN N13 [get_ports {vsync_out}] set_property IOSTANDARD LVCMOS33 [get_ports {pclk_in}] @@ -103,11 +102,13 @@ create_clock -period 20.000 -name pcie_clkin [get_ports clk_50m] set_property BITSTREAM.CONFIG.OVERTEMPPOWERDOWN ENABLE [current_design] # High-speed configuration so FPGA is up in time to negotiate with PCIe root complex -set_property BITSTREAM.CONFIG.EXTMASTERCCLK_EN Div-1 [current_design] +#set_property BITSTREAM.CONFIG.EXTMASTERCCLK_EN Div-1 [current_design] set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design] -set_property CONFIG_MODE SPIx4 [current_design] -set_property BITSTREAM.CONFIG.SPI_FALL_EDGE YES [current_design] -set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design] +#set_property CONFIG_MODE SPIx4 [current_design] +#set_property BITSTREAM.CONFIG.SPI_FALL_EDGE YES [current_design] +#set_property BITSTREAM.GENERAL.COMPRESS FALSE [current_design] +set_property BITSTREAM.CONFIG.CONFIGRATE 22 [current_design] + set_property CONFIG_VOLTAGE 3.3 [current_design] set_property CFGBVS VCCO [current_design] diff --git a/fpga/hp_lcd_driver/scripts/xilinx_bit_to_bin.pl b/fpga/hp_lcd_driver/scripts/xilinx_bit_to_bin.pl new file mode 100755 index 0000000..317a09e --- /dev/null +++ b/fpga/hp_lcd_driver/scripts/xilinx_bit_to_bin.pl @@ -0,0 +1,80 @@ +#!/usr/bin/env perl + +use strict; +use warnings; + +use Data::Dumper; + +sub read_file($) { + my ($name) = @_; + + my $fh = new IO::File "<" . $name; + binmode $fh; + local $/; + my $guts = $fh->getline; + $fh->close; + undef $fh; + + return $guts; +} + +sub dump_file($$) { + my ( $name, $guts ) = @_; + + my $fh = new IO::File ">" . $name; + binmode $fh; + $fh->print($guts); + $fh->close; + undef $fh; +} + +sub read_section($$$){ + my ($in,$ll,$t)=@_; + + die "file ends too soon" if length($$in)<($ll+1); + die "section type mismatch" if substr($$in,0,1) ne $t; + + my $ls= substr($$in,1,$ll); + $$in=substr($$in,1+$ll); + + my $l=0; + for ( my $i = 0; $i < length($ls); $i++ ) { + $l<<=8; + $l=$l+ord( substr( $ls, $i, 1)); + } + + + + die "file ends too soon" if length($$in)<$l; + + my $v=substr($$in,0,$l); + $$in=substr($$in,$l); + + return $v; +} + + + + +my $in=read_file($ARGV[0]); + +die "file ends too soon" if length($in)<13; + +$in=substr($in,13); + + +my $file_name=read_section(\$in,2,'a'); +my $part_name=read_section(\$in,2,'b'); +my $date=read_section(\$in,2,'c'); +my $time=read_section(\$in,2,'d'); +my $data=read_section(\$in,4,'e'); + +print "partname=$part_name\n"; + +my $b=unpack('b*',$data); +$data=pack('B*',$b); + + +dump_file($ARGV[1],$data); + + diff --git a/fpga/hp_lcd_driver/vram_artix7.vhdl b/fpga/hp_lcd_driver/vram_artix7.vhdl index 82186e1..6e4c171 100644 --- a/fpga/hp_lcd_driver/vram_artix7.vhdl +++ b/fpga/hp_lcd_driver/vram_artix7.vhdl @@ -19,8 +19,14 @@ end vram; architecture beh of vram is signal wr_en_v : std_logic_vector(0 downto 0); + signal wr_data_6 : std_logic_vector(5 downto 0); + signal rd_data_6 : std_logic_vector(5 downto 0); begin + wr_data_6 <= "00" & wr_data; + rd_data <= rd_data_6(3 downto 0); + + wr_en_v(0) <= wr_en; bmg0 : entity work.blk_mem_gen_0 @@ -30,9 +36,9 @@ begin clka => wr_clk, wea => wr_en_v, addra => wr_addr, - dina => wr_data, + dina => wr_data_6, clkb => rd_clk, - doutb => rd_data, + doutb => rd_data_6, addrb => rd_addr ); end beh; diff --git a/openocd/bscan_spi/bscan_spi_xc7a35t.bit b/openocd/bscan_spi/bscan_spi_xc7a35t.bit Binary files differnew file mode 100644 index 0000000..be649f0 --- /dev/null +++ b/openocd/bscan_spi/bscan_spi_xc7a35t.bit diff --git a/pcbs/bt475-interface/bt475-interface.kicad_pcb b/pcbs/bt475-interface/bt475-interface.kicad_pcb index 5a34d02..87b56c1 100644 --- a/pcbs/bt475-interface/bt475-interface.kicad_pcb +++ b/pcbs/bt475-interface/bt475-interface.kicad_pcb @@ -105,40 +105,40 @@ (net 23 "Net-(U1-P7)") (net 24 "Net-(U1-P2)") (net 25 "Net-(U1-CLOCK)") - (net 26 "Net-(J2-Pin_14)") - (net 27 "Net-(J2-Pin_13)") - (net 28 "Net-(J2-Pin_16)") - (net 29 "Net-(J2-Pin_15)") - (net 30 "Net-(J2-Pin_6)") - (net 31 "Net-(J2-Pin_8)") - (net 32 "Net-(J2-Pin_5)") + (net 26 "/P5") + (net 27 "/HSYNC") + (net 28 "/P7") + (net 29 "/PCLK") + (net 30 "/P3") + (net 31 "/~{BLANK}") + (net 32 "/P1") (net 33 "Net-(J2-Pin_12)") - (net 34 "Net-(J2-Pin_7)") - (net 35 "Net-(J2-Pin_10)") + (net 34 "/P2") + (net 35 "/P4") (net 36 "Net-(J2-Pin_11)") (net 37 "Net-(J2-Pin_9)") (net 38 "Net-(J1-Pin_1)") (net 39 "Net-(J1-Pin_2)") (net 40 "Net-(U1-~{BLANK})") (net 41 "Net-(U1-~{SYNC})") - (net 42 "Net-(J3-Pin_16)") - (net 43 "Net-(J3-Pin_2)") - (net 44 "Net-(J3-Pin_14)") - (net 45 "Net-(J3-Pin_18)") - (net 46 "Net-(J3-Pin_10)") - (net 47 "Net-(J3-Pin_6)") - (net 48 "Net-(J3-Pin_8)") - (net 49 "Net-(J3-Pin_12)") - (net 50 "Net-(J3-Pin_20)") - (net 51 "Net-(J3-Pin_22)") - (net 52 "Net-(J3-Pin_24)") - (net 53 "Net-(J3-Pin_26)") - (net 54 "Net-(J3-Pin_4)") + (net 42 "/P0") + (net 43 "/VSYNC") + (net 44 "/P6") + (net 45 "/~{SYNC}") + (net 46 "/D5") + (net 47 "/~{RD}") + (net 48 "/D4") + (net 49 "/D6") + (net 50 "/D7") + (net 51 "/RS0") + (net 52 "/~{WR}") + (net 53 "/D2") + (net 54 "/RS2") (net 55 "+3.3V") - (net 56 "Net-(J2-Pin_3)") - (net 57 "Net-(J2-Pin_1)") - (net 58 "Net-(J2-Pin_4)") - (net 59 "Net-(J2-Pin_2)") + (net 56 "/D0") + (net 57 "/D1") + (net 58 "/D3") + (net 59 "/RS1") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") (uuid "00811913-29a1-4a81-9c76-802f919b5f49") @@ -578,7 +578,7 @@ (roundrect_rratio 0.25) (net 5 "+5V") (pinfunction "VCCA") - (pintype "bidirectional") + (pintype "power_in") (uuid "e26da042-f646-4315-8298-672d2e3142b1") ) (pad "2" smd roundrect @@ -688,7 +688,7 @@ (roundrect_rratio 0.25) (net 2 "GND") (pinfunction "GND") - (pintype "bidirectional") + (pintype "power_in") (uuid "b7b8f6bd-f852-4b44-b199-06df76e43e9f") ) (pad "13" smd roundrect @@ -698,7 +698,7 @@ (roundrect_rratio 0.25) (net 2 "GND") (pinfunction "GND") - (pintype "bidirectional") + (pintype "power_in") (uuid "b5c73bb8-ee5c-474d-8089-2df9a4035655") ) (pad "14" smd roundrect @@ -706,7 +706,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 28 "Net-(J2-Pin_16)") + (net 43 "/VSYNC") (pinfunction "B8") (pintype "bidirectional") (uuid "42264737-1f57-404b-aefc-12ebf06c6060") @@ -716,7 +716,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 29 "Net-(J2-Pin_15)") + (net 27 "/HSYNC") (pinfunction "B7") (pintype "bidirectional") (uuid "7b2adc48-2733-40f8-86e2-ea5fe01d3e21") @@ -726,7 +726,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 26 "Net-(J2-Pin_14)") + (net 31 "/~{BLANK}") (pinfunction "B6") (pintype "bidirectional") (uuid "41ff0306-cb7d-45f5-a3b4-3f8fceaf2794") @@ -736,7 +736,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 27 "Net-(J2-Pin_13)") + (net 45 "/~{SYNC}") (pinfunction "B5") (pintype "bidirectional") (uuid "96bb8b22-5a01-4136-90ce-6087733c2f2c") @@ -766,7 +766,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 35 "Net-(J2-Pin_10)") + (net 29 "/PCLK") (pinfunction "B2") (pintype "bidirectional") (uuid "d6b2c000-a860-481e-a514-6256c6c9c3a4") @@ -808,7 +808,7 @@ (roundrect_rratio 0.25) (net 55 "+3.3V") (pinfunction "VCCB") - (pintype "bidirectional") + (pintype "power_in") (uuid "344ac7de-3165-48db-a7d9-2956cab5c49a") ) (model "${KICAD8_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-24_4.4x7.8mm_P0.65mm.wrl" @@ -1147,7 +1147,7 @@ (remove_unused_layers no) (net 2 "GND") (pinfunction "GND") - (pintype "power_out") + (pintype "power_in") (uuid "93809a61-4394-4796-91d9-fc61a9a80981") ) (pad "4" thru_hole circle @@ -1158,7 +1158,7 @@ (remove_unused_layers no) (net 5 "+5V") (pinfunction "VAA") - (pintype "power_out") + (pintype "power_in") (uuid "2d7401db-7d78-469e-ad97-20610b49f974") ) (pad "5" thru_hole circle @@ -1178,7 +1178,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 43 "Net-(J3-Pin_2)") + (net 47 "/~{RD}") (pinfunction "~{RD}") (pintype "output") (uuid "de6e822c-6fc0-4a73-a9e1-c56f4bafca6c") @@ -1200,7 +1200,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 47 "Net-(J3-Pin_6)") + (net 56 "/D0") (pinfunction "D0") (pintype "output") (uuid "bfd7a9c8-d242-49ac-b264-1cd45298a015") @@ -1211,7 +1211,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 48 "Net-(J3-Pin_8)") + (net 57 "/D1") (pinfunction "D1") (pintype "output") (uuid "38c47451-e554-442b-b261-7e1d6f9392d8") @@ -1222,7 +1222,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 46 "Net-(J3-Pin_10)") + (net 53 "/D2") (pinfunction "D2") (pintype "output") (uuid "9af81706-b988-47f6-8ee9-9d3a0e724d14") @@ -1233,7 +1233,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 49 "Net-(J3-Pin_12)") + (net 58 "/D3") (pinfunction "D3") (pintype "output") (uuid "430cceaf-ca87-473f-a9dd-514cbb9cf037") @@ -1244,7 +1244,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 44 "Net-(J3-Pin_14)") + (net 48 "/D4") (pinfunction "D4") (pintype "output") (uuid "bdca3c82-020f-446c-9ebf-66585a5051d5") @@ -1255,7 +1255,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 42 "Net-(J3-Pin_16)") + (net 46 "/D5") (pinfunction "D5") (pintype "output") (uuid "05c9bb58-39c7-4e5b-8705-746d281c2a59") @@ -1266,7 +1266,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 45 "Net-(J3-Pin_18)") + (net 49 "/D6") (pinfunction "D6") (pintype "output") (uuid "70ce0124-774e-4e43-8b1f-331c0d1d99be") @@ -1277,7 +1277,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 50 "Net-(J3-Pin_20)") + (net 50 "/D7") (pinfunction "D7") (pintype "output") (uuid "da945f6e-74b3-4af2-8761-e68c3ea31337") @@ -1288,7 +1288,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 54 "Net-(J3-Pin_4)") + (net 52 "/~{WR}") (pinfunction "~{WR}") (pintype "output") (uuid "7ca03e02-1a3f-4f93-8b73-38f08b0c4947") @@ -1299,7 +1299,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 51 "Net-(J3-Pin_22)") + (net 51 "/RS0") (pinfunction "RS0") (pintype "output") (uuid "328cc873-c8b3-423f-96c5-40a953b87957") @@ -1310,7 +1310,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 52 "Net-(J3-Pin_24)") + (net 59 "/RS1") (pinfunction "RS1") (pintype "output") (uuid "8160f43d-b2a7-4e87-8faf-bd979efca897") @@ -1321,7 +1321,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 53 "Net-(J3-Pin_26)") + (net 54 "/RS2") (pinfunction "RS2") (pintype "output") (uuid "d3012d20-ce26-44f2-8233-d20f18e446f6") @@ -1378,7 +1378,7 @@ (remove_unused_layers no) (net 2 "GND") (pinfunction "GND") - (pintype "power_out") + (pintype "power_in") (uuid "570b709b-0f2f-437c-bfca-4adf7d4e979a") ) (pad "25" thru_hole circle @@ -1615,6 +1615,216 @@ ) (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (uuid "499a8117-ae8a-4626-8055-9b98091e4d53") + (at 179.0375 65.4) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C6" + (at 0 -1.43 360) + (layer "F.SilkS") + (uuid "54841a90-1fdd-49ea-91d7-25852e15f030") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "C" + (at 0 1.43 360) + (layer "F.Fab") + (uuid "ccfdcfb2-ff7d-4225-ba68-4a34421ce6f1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "68397c32-fa89-4f7a-8e41-5d54dba9bebe") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7eca059b-4f9e-4bc1-ad47-5b7763702726") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5a9513d9-f637-432b-bc37-cf506118aa9c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/6830cf4e-b6bc-4819-bb1d-73d5ea5f02a5") + (sheetname "Root") + (sheetfile "bt475-interface.kicad_sch") + (attr smd) + (fp_line + (start -0.146267 -0.51) + (end 0.146267 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4b6e53ed-6557-4a2c-9fda-d9f628f243de") + ) + (fp_line + (start -0.146267 0.51) + (end 0.146267 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2bdf0890-64b5-4843-a6ea-6c428e5b9c79") + ) + (fp_line + (start -1.65 -0.73) + (end 1.65 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d19694bb-9709-4a8a-b7bf-98d328bd3eeb") + ) + (fp_line + (start -1.65 0.73) + (end -1.65 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4ac7b987-4b7b-441e-9577-d428861d6a49") + ) + (fp_line + (start 1.65 -0.73) + (end 1.65 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "72011e2a-cd89-4727-aced-154b0074ab8c") + ) + (fp_line + (start 1.65 0.73) + (end -1.65 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ee64d303-f13f-4a3e-85ee-1cd4a2f67c6a") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c7c3e589-0078-45d8-9b49-bf6d30e8e822") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fea67283-a008-48f0-b335-8a05de1fb644") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6caa81c1-3ca3-49c0-b445-8094c69255a1") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "84c1e6da-7520-496c-8e3c-f9c917b3527e") + ) + (fp_text user "${REFERENCE}" + (at 0 0 360) + (layer "F.Fab") + (uuid "c61548c3-0b0f-41da-aa84-03c7989a41e2") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.8625 0) + (size 1.075 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 5 "+5V") + (pintype "passive") + (uuid "1408fd47-cd11-4483-839c-996eb1bcab62") + ) + (pad "2" smd roundrect + (at 0.8625 0) + (size 1.075 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "GND") + (pintype "passive") + (uuid "8407544a-8491-4fcc-8d4d-6476e1cfbf91") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" + (layer "F.Cu") (uuid "4f87e84e-8e44-4b98-a04f-0316e0c4dde4") (at 182.7 75.438) (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") @@ -2052,7 +2262,7 @@ (roundrect_rratio 0.25) (net 5 "+5V") (pinfunction "VCCA") - (pintype "bidirectional") + (pintype "power_in") (uuid "2b1efe14-65c2-4eaf-83cf-c8ed10757f31") ) (pad "2" smd roundrect @@ -2162,7 +2372,7 @@ (roundrect_rratio 0.25) (net 2 "GND") (pinfunction "GND") - (pintype "bidirectional") + (pintype "power_in") (uuid "8110f8cc-d57a-440b-b102-f2a9761af8b4") ) (pad "13" smd roundrect @@ -2172,7 +2382,7 @@ (roundrect_rratio 0.25) (net 2 "GND") (pinfunction "GND") - (pintype "bidirectional") + (pintype "power_in") (uuid "2d6774a4-bbb5-43df-90b9-6ef1713ca1e8") ) (pad "14" smd roundrect @@ -2180,7 +2390,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 31 "Net-(J2-Pin_8)") + (net 42 "/P0") (pinfunction "B8") (pintype "bidirectional") (uuid "dc43bb75-d9a0-448c-8943-435595910190") @@ -2190,7 +2400,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 34 "Net-(J2-Pin_7)") + (net 32 "/P1") (pinfunction "B7") (pintype "bidirectional") (uuid "d6a1f5ef-19c1-46e2-bca8-20dce932e53f") @@ -2200,7 +2410,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 30 "Net-(J2-Pin_6)") + (net 34 "/P2") (pinfunction "B6") (pintype "bidirectional") (uuid "77408430-b399-4e8f-9c51-97670cdd8473") @@ -2210,7 +2420,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 32 "Net-(J2-Pin_5)") + (net 30 "/P3") (pinfunction "B5") (pintype "bidirectional") (uuid "7f04d8b7-e34b-45a9-a810-e41b5bc2a740") @@ -2220,7 +2430,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 58 "Net-(J2-Pin_4)") + (net 35 "/P4") (pinfunction "B4") (pintype "bidirectional") (uuid "cabfd779-d0cb-4027-a766-a384a1fcc34c") @@ -2230,7 +2440,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 56 "Net-(J2-Pin_3)") + (net 26 "/P5") (pinfunction "B3") (pintype "bidirectional") (uuid "c0a7c5bc-8ea1-49bc-9232-0f047d778964") @@ -2240,7 +2450,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 59 "Net-(J2-Pin_2)") + (net 44 "/P6") (pinfunction "B2") (pintype "bidirectional") (uuid "877ae5f3-8e57-48e9-87df-7ae4db281efb") @@ -2250,7 +2460,7 @@ (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 57 "Net-(J2-Pin_1)") + (net 28 "/P7") (pinfunction "B1") (pintype "bidirectional") (uuid "b9b54a5f-2d41-437f-baed-c0d48b20319b") @@ -2282,7 +2492,7 @@ (roundrect_rratio 0.25) (net 55 "+3.3V") (pinfunction "VCCB") - (pintype "bidirectional") + (pintype "power_in") (uuid "29cacbbd-8645-4c73-b7e0-38da8f04bcf7") ) (model "${KICAD8_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-24_4.4x7.8mm_P0.65mm.wrl" @@ -2553,7 +2763,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 57 "Net-(J2-Pin_1)") + (net 28 "/P7") (pinfunction "Pin_1") (pintype "passive") (uuid "5a63220d-ec9a-46ad-b047-11b060e522ff") @@ -2564,7 +2774,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 59 "Net-(J2-Pin_2)") + (net 44 "/P6") (pinfunction "Pin_2") (pintype "passive") (uuid "e23d35df-e6a4-44fe-8671-aa9fe3870ad2") @@ -2575,7 +2785,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 56 "Net-(J2-Pin_3)") + (net 26 "/P5") (pinfunction "Pin_3") (pintype "passive") (uuid "394a09ca-e8f0-4216-bafa-130e8cfbc9c8") @@ -2586,7 +2796,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 58 "Net-(J2-Pin_4)") + (net 35 "/P4") (pinfunction "Pin_4") (pintype "passive") (uuid "81ac9d23-9a80-48ac-ada7-cd1733e5244d") @@ -2597,7 +2807,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 32 "Net-(J2-Pin_5)") + (net 30 "/P3") (pinfunction "Pin_5") (pintype "passive") (uuid "74889d01-0e98-4a9f-8f60-e2029b6a4079") @@ -2608,7 +2818,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 30 "Net-(J2-Pin_6)") + (net 34 "/P2") (pinfunction "Pin_6") (pintype "passive") (uuid "80b6c963-5f56-4825-9052-3ca5954ae4c8") @@ -2619,7 +2829,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 34 "Net-(J2-Pin_7)") + (net 32 "/P1") (pinfunction "Pin_7") (pintype "passive") (uuid "7cd6ed93-cac2-4882-8558-dbf6134e2234") @@ -2630,7 +2840,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 31 "Net-(J2-Pin_8)") + (net 42 "/P0") (pinfunction "Pin_8") (pintype "passive") (uuid "8e8afacb-629b-47cc-bf69-283bda3ae472") @@ -2652,7 +2862,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 35 "Net-(J2-Pin_10)") + (net 29 "/PCLK") (pinfunction "Pin_10") (pintype "passive") (uuid "6afd9b52-5cee-477b-92fe-7c506fed19f0") @@ -2685,7 +2895,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 27 "Net-(J2-Pin_13)") + (net 45 "/~{SYNC}") (pinfunction "Pin_13") (pintype "passive") (uuid "f43cd4a0-ace7-439b-ad63-1a85b72cbba3") @@ -2696,7 +2906,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 26 "Net-(J2-Pin_14)") + (net 31 "/~{BLANK}") (pinfunction "Pin_14") (pintype "passive") (uuid "7bfd0ec5-101f-40a3-a6ae-b2bf694539e7") @@ -2707,7 +2917,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 29 "Net-(J2-Pin_15)") + (net 27 "/HSYNC") (pinfunction "Pin_15") (pintype "passive") (uuid "56c6c1cf-24f0-4f96-9375-ccc48f37350b") @@ -2718,7 +2928,7 @@ (drill 1) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 28 "Net-(J2-Pin_16)") + (net 43 "/VSYNC") (pinfunction "Pin_16") (pintype "passive") (uuid "c5ddc00b-9bdf-47d2-818e-db4272920569") @@ -3299,7 +3509,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 43 "Net-(J3-Pin_2)") + (net 47 "/~{RD}") (pinfunction "Pin_2") (pintype "passive") (uuid "00e57c4f-b7c7-4ccc-9261-a63aa9a543e9") @@ -3321,7 +3531,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 54 "Net-(J3-Pin_4)") + (net 52 "/~{WR}") (pinfunction "Pin_4") (pintype "passive") (uuid "3e08fd1d-1f4d-4530-a3b3-6584fbe571bf") @@ -3343,7 +3553,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 47 "Net-(J3-Pin_6)") + (net 56 "/D0") (pinfunction "Pin_6") (pintype "passive") (uuid "b5a6d86d-a7c9-4058-9357-f1e1bc42a6e5") @@ -3365,7 +3575,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 48 "Net-(J3-Pin_8)") + (net 57 "/D1") (pinfunction "Pin_8") (pintype "passive") (uuid "c8302d12-2080-463b-8d62-abd8cc91fff1") @@ -3387,7 +3597,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 46 "Net-(J3-Pin_10)") + (net 53 "/D2") (pinfunction "Pin_10") (pintype "passive") (uuid "41135ee8-3ca5-4a3a-b0b9-110943a1144f") @@ -3409,7 +3619,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 49 "Net-(J3-Pin_12)") + (net 58 "/D3") (pinfunction "Pin_12") (pintype "passive") (uuid "ccda0d6e-e3f7-4279-bc65-4052708714ba") @@ -3431,7 +3641,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 44 "Net-(J3-Pin_14)") + (net 48 "/D4") (pinfunction "Pin_14") (pintype "passive") (uuid "058f81c1-c8fe-47c1-9f3d-afb83c5d7d86") @@ -3453,7 +3663,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 42 "Net-(J3-Pin_16)") + (net 46 "/D5") (pinfunction "Pin_16") (pintype "passive") (uuid "00c07714-3d14-4a71-a89d-1626853fce15") @@ -3475,7 +3685,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 45 "Net-(J3-Pin_18)") + (net 49 "/D6") (pinfunction "Pin_18") (pintype "passive") (uuid "1ce81493-aebb-438d-84a3-9def668e9ccc") @@ -3497,7 +3707,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 50 "Net-(J3-Pin_20)") + (net 50 "/D7") (pinfunction "Pin_20") (pintype "passive") (uuid "1d11d1f2-90f9-4010-9f6b-d7807f9e2d19") @@ -3519,7 +3729,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 51 "Net-(J3-Pin_22)") + (net 51 "/RS0") (pinfunction "Pin_22") (pintype "passive") (uuid "1e483c89-82eb-4ddd-bdca-bb51e1c7a556") @@ -3541,7 +3751,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 52 "Net-(J3-Pin_24)") + (net 59 "/RS1") (pinfunction "Pin_24") (pintype "passive") (uuid "eaa8ade5-4511-4525-9cf1-0cd59eb0df20") @@ -3563,7 +3773,7 @@ (drill 0.8) (layers "*.Cu" "*.Mask") (remove_unused_layers no) - (net 53 "Net-(J3-Pin_26)") + (net 54 "/RS2") (pinfunction "Pin_26") (pintype "passive") (uuid "5dbae36c-3499-4f00-8004-76072181bb0c") @@ -3790,6 +4000,490 @@ ) ) ) + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" + (layer "F.Cu") + (uuid "c16099ec-7e5b-4cf1-8f7e-6c641d3b4cbc") + (at 182.4 65.4) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C5" + (at 0 -1.43 360) + (layer "F.SilkS") + (uuid "f607c461-0004-4b4a-b577-146a504f0136") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "C" + (at 0 1.43 360) + (layer "F.Fab") + (uuid "23fd563f-fd66-4492-bf25-a2f676111b83") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "905b6c98-85c2-4c9b-a304-b256d7f02708") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9bb4333e-8cb8-4f48-b10d-2b04118e85d5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "169cd386-7d8d-43b2-8680-f5741fd2c7d4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/8ee85f30-2ff5-4f46-8395-020eead74df9") + (sheetname "Root") + (sheetfile "bt475-interface.kicad_sch") + (attr smd) + (fp_line + (start -0.146267 -0.51) + (end 0.146267 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7eda02d8-67e4-4558-a6f9-b6b1270bb647") + ) + (fp_line + (start -0.146267 0.51) + (end 0.146267 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "226c58a5-12ad-40dc-bfce-8bf3eb0c5276") + ) + (fp_line + (start -1.65 -0.73) + (end 1.65 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "93955002-4b69-4c0a-ae31-4a26ba5d9e6f") + ) + (fp_line + (start -1.65 0.73) + (end -1.65 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3b1836cb-0f1e-4e6b-ab36-4b9870b86491") + ) + (fp_line + (start 1.65 -0.73) + (end 1.65 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6fc6af07-1059-4206-b011-bf6b1c7423a1") + ) + (fp_line + (start 1.65 0.73) + (end -1.65 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2de8f95c-bce3-4d44-9d9d-70d0498118a0") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "dd96211d-8027-4ce8-aae5-5fb0177bc600") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "dba9cad7-5467-4f6c-8bb5-b194b91e6fb2") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3db6cc83-19d4-4428-90e5-12f025ace454") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9476dd51-f151-4c8f-89fe-9d474cc27a1e") + ) + (fp_text user "${REFERENCE}" + (at 0 0 360) + (layer "F.Fab") + (uuid "6e4111b0-9f02-449c-be54-65028af88ec1") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.8625 0) + (size 1.075 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 55 "+3.3V") + (pintype "passive") + (uuid "2fe181d7-a5b5-4032-b215-4b08900ef475") + ) + (pad "2" smd roundrect + (at 0.8625 0) + (size 1.075 0.95) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "GND") + (pintype "passive") + (uuid "2d2219d4-ab6d-4a54-994e-477e69a5f1e1") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_TO_SOT_SMD:SOT-223-3_TabPin2" + (layer "F.Cu") + (uuid "d188331a-eb71-4078-98b7-5d46fd112866") + (at 182.45 60.9) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (property "Reference" "U5" + (at 0 -4.5 0) + (layer "F.SilkS") + (uuid "de085039-55e8-40d1-b3b9-e8ffa9fbf46a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "AMS1117-3.3" + (at 0 4.5 0) + (layer "F.Fab") + (uuid "c632e410-7bfc-49ab-8c71-bc4a93465b70") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "dfc16563-04a4-4bd9-a040-a26d02c200ac") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "55189d51-8175-46b5-8876-365828754c31") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0abbf1e9-62fc-4339-903f-f8ba0156a4af") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "SOT?223*TabPin2*") + (path "/c1822403-bcc0-4d92-a123-1afc055f8de0") + (sheetname "Root") + (sheetfile "bt475-interface.kicad_sch") + (attr smd) + (fp_line + (start -1.85 -3.41) + (end 1.91 -3.41) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "99b4bc7b-b358-4e4e-829c-a6b218177c42") + ) + (fp_line + (start -1.85 3.41) + (end 1.91 3.41) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4d7154b3-d431-41ae-ba29-5241300b3a46") + ) + (fp_line + (start 1.91 -3.41) + (end 1.91 -2.15) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fceb3580-9675-485c-9ae4-11374e7f5a06") + ) + (fp_line + (start 1.91 3.41) + (end 1.91 2.15) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "971d698a-35e3-49cc-ba50-171fa6cb8bfb") + ) + (fp_poly + (pts + (xy -3.13 -3.31) (xy -3.37 -3.64) (xy -2.89 -3.64) (xy -3.13 -3.31) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "70239f6e-b9bd-4e43-8fd1-5392d4678a0a") + ) + (fp_line + (start -4.4 -3.6) + (end -4.4 3.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3ea9109c-6e9a-4370-8cfe-82712d8082ac") + ) + (fp_line + (start -4.4 3.6) + (end 4.4 3.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e94bdd95-908c-42b7-bfa1-2cfc46629fe6") + ) + (fp_line + (start 4.4 -3.6) + (end -4.4 -3.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7b3e5c5f-d721-4705-b044-dad5cb765838") + ) + (fp_line + (start 4.4 3.6) + (end 4.4 -3.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7532a634-9cd2-4777-afb4-6a2496cc46c8") + ) + (fp_line + (start -1.85 -2.35) + (end -1.85 3.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e0532c95-a36d-4a77-9bbf-1e4006c2ee85") + ) + (fp_line + (start -1.85 -2.35) + (end -0.85 -3.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "67fb6d4a-4670-4456-a381-38c29e666c04") + ) + (fp_line + (start -1.85 3.35) + (end 1.85 3.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "94c04405-6d16-410b-b8f0-adb10a472416") + ) + (fp_line + (start -0.85 -3.35) + (end 1.85 -3.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "900de2e0-e757-4f4e-ab4b-9f4d3d1f3945") + ) + (fp_line + (start 1.85 -3.35) + (end 1.85 3.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c19d33f4-afa5-443a-8bdf-c015e8e83bc8") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "16b54235-3652-48fb-9a67-1d5bb305f17e") + (effects + (font + (size 0.8 0.8) + (thickness 0.12) + ) + ) + ) + (pad "1" smd roundrect + (at -3.15 -2.3) + (size 2 1.5) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "e02a1119-27ee-45f1-847c-7092a8b5f8b1") + ) + (pad "2" smd roundrect + (at -3.15 0) + (size 2 1.5) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 55 "+3.3V") + (pinfunction "VO") + (pintype "power_out") + (uuid "f9479bf9-9346-4759-9132-bd31d418dd24") + ) + (pad "2" smd roundrect + (at 3.15 0) + (size 2 3.8) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 55 "+3.3V") + (pinfunction "VO") + (pintype "power_out") + (uuid "3929face-181d-425d-9848-929aa1a58dd5") + ) + (pad "3" smd roundrect + (at -3.15 2.3) + (size 2 1.5) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 5 "+5V") + (pinfunction "VI") + (pintype "power_in") + (uuid "22568cbf-f80d-4886-a042-52e63c8faad3") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) (footprint "Connector_PinHeader_2.54mm:PinHeader_2x03_P2.54mm_Vertical" (layer "F.Cu") (uuid "e571ec97-403e-42a2-b930-ea6fa5ec1058") @@ -4377,6 +5071,14 @@ (uuid "01f32224-f35d-44c1-a7d1-9cf18c858a69") ) (segment + (start 185.2 57) + (end 184.3 57) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "0271a36f-53fa-4d4e-b554-2df3c3e427a9") + ) + (segment (start 193.682 80.518) (end 193.7 80.5) (width 0.6) @@ -4425,6 +5127,14 @@ (uuid "201b03f5-271d-448b-8408-c1b5fda7e2d2") ) (segment + (start 181.4 58.6) + (end 181.8 58.6) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "206a6f5d-030c-4f94-990a-9d6a19e2f13e") + ) + (segment (start 180.837 83.6345) (end 180.837 81.4688) (width 0.3) @@ -4441,12 +5151,12 @@ (uuid "2347766e-816f-471f-955f-e9525847e4a9") ) (segment - (start 182.0498 63.3048) - (end 182.0498 69.4008) + (start 181.9 57) + (end 181.9 58.5) (width 0.6) (layer "F.Cu") (net 2) - (uuid "25538641-cd74-4acb-9c0a-440723894e85") + (uuid "3190f2d5-cd7f-4bca-bff0-14b1805e9539") ) (segment (start 180.837 84.371999) @@ -4473,6 +5183,30 @@ (uuid "395e614e-6f84-44cf-9aed-e2a4c40d36f8") ) (segment + (start 179.5 58.6) + (end 178.6 58.6) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "3be97f38-8515-472e-9217-1ec19ceed543") + ) + (segment + (start 182.3 58.6) + (end 182.7 58.6) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "3d820558-f457-4035-9661-f083b361681e") + ) + (segment + (start 183.2625 65.4) + (end 183.2625 65.2625) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "3f15fc4d-c32f-4cf5-a6df-69af43a7f009") + ) + (segment (start 185.138 75.438) (end 183.5625 75.438) (width 0.6) @@ -4529,6 +5263,14 @@ (uuid "51542987-0ade-403d-8447-0e74b374f411") ) (segment + (start 184.3 57) + (end 182.7 58.6) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "52e3955f-bdd9-447f-9a35-c752106bff50") + ) + (segment (start 178.887 77.9095) (end 178.887 80.447) (width 0.3) @@ -4537,6 +5279,14 @@ (uuid "57e9b896-b24b-4e91-a251-35c5f03aeda5") ) (segment + (start 179.5 58.6) + (end 181.1 58.6) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "5a320f29-a7a3-46a5-a45f-f4f65fd62819") + ) + (segment (start 165.9128 83.566) (end 168.4528 83.566) (width 0.6) @@ -4561,6 +5311,14 @@ (uuid "5eeba85e-e2dd-40d9-b7cc-f18b13107f41") ) (segment + (start 182.0498 69.4008) + (end 182.0498 67.2502) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "5f9b1978-3ea1-4d7a-9bce-e362903d3f3e") + ) + (segment (start 172.0596 90.7796) (end 172.0596 88.2396) (width 0.6) @@ -4585,6 +5343,14 @@ (uuid "6ba63257-88fc-4506-8d0f-66994d17d6da") ) (segment + (start 181.8 58.6) + (end 182.3 58.6) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "6c4357d3-c9ee-4ab8-96cd-fc3f2299bd15") + ) + (segment (start 178.6128 80.518) (end 178.816 80.518) (width 0.6) @@ -4609,12 +5375,12 @@ (uuid "7021ff8f-9126-4fda-8f4b-a398d794293d") ) (segment - (start 178.181 55.372) - (end 178.181 59.436) + (start 183.5 65.4) + (end 185.5 65.4) (width 0.6) (layer "F.Cu") (net 2) - (uuid "747c0a8a-ce54-42cb-9c53-529e718adcb8") + (uuid "7e3aee3e-4e35-4006-96c5-a6753d63eac7") ) (segment (start 179.5018 80.518) @@ -4633,6 +5399,14 @@ (uuid "87085143-43a3-49c8-bfd6-97fe8feca7b7") ) (segment + (start 183.261 70.612) + (end 182.0498 69.4008) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "87c575a1-1be2-4a03-906d-7a20a4fb50c7") + ) + (segment (start 183.7436 81.4092) (end 183.7436 80.5688) (width 0.6) @@ -4673,6 +5447,14 @@ (uuid "94f54b27-389b-4b97-a806-9a001e15cafe") ) (segment + (start 178.181 58.181) + (end 178.181 55.372) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "969862be-f461-4e7a-9cff-b68d4e3c7a8b") + ) + (segment (start 172.3136 76.6064) (end 173.6839 76.6064) (width 0.6) @@ -4697,6 +5479,14 @@ (uuid "98f64559-0681-4b4d-890a-e8bedcf37a43") ) (segment + (start 183.2625 65.4) + (end 182.28125 64.41875) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "9a4780bf-6102-492c-b371-b7874b182382") + ) + (segment (start 183.6928 80.518) (end 193.682 80.518) (width 0.6) @@ -4721,12 +5511,28 @@ (uuid "a48d0041-b6f5-4a62-8ef4-1d8d49b73f1f") ) (segment - (start 182.0498 69.4008) - (end 183.261 70.612) + (start 181.9 58.5) + (end 181.8 58.6) (width 0.6) (layer "F.Cu") (net 2) - (uuid "ae3281f9-981d-4281-9770-1588b6f7a183") + (uuid "a67d6246-b396-44d8-b7b4-836e8fd0dd43") + ) + (segment + (start 182.0498 67.2502) + (end 183.2625 66.0375) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "af69f263-b1f9-4507-99b4-11dcea2a58a5") + ) + (segment + (start 181.1 58.6) + (end 181.4 58.6) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "bea5485a-dad5-4aa2-9dfa-3278dc99ee86") ) (segment (start 170.726 84.0612) @@ -4793,12 +5599,12 @@ (uuid "db647fe8-dfb7-49ec-ad76-6daf070c315c") ) (segment - (start 178.181 59.436) - (end 182.0498 63.3048) + (start 182.28125 58.61875) + (end 182.3 58.6) (width 0.6) (layer "F.Cu") (net 2) - (uuid "dbe5a509-d364-45c3-bd48-fe03007614bd") + (uuid "dd371809-1a76-43c5-a180-f1f931f6eac0") ) (segment (start 185.1868 77.851) @@ -4825,6 +5631,14 @@ (uuid "e7302186-6f0a-4ad2-8f37-d4aa6e17e804") ) (segment + (start 182.28125 64.41875) + (end 182.28125 58.61875) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "eb13a142-9d62-4083-a6c4-f38eb4babca0") + ) + (segment (start 184.6326 85.09) (end 185.1868 84.5358) (width 0.3) @@ -4833,6 +5647,14 @@ (uuid "eb20af0e-6d78-488a-a986-4fb79a188e79") ) (segment + (start 178.6 58.6) + (end 178.181 58.181) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "f46a66da-22a4-4a2a-aa6c-ae54991b2351") + ) + (segment (start 172.07 88.28) (end 172.07 85.4052) (width 0.6) @@ -4848,37 +5670,45 @@ (net 2) (uuid "fd399a54-7c6e-45cc-97f9-084a1459d4d1") ) + (segment + (start 183.2625 66.0375) + (end 183.2625 65.4) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "ffeba3d0-b894-4793-84c0-a7c39cd7a9c7") + ) (via - (at 180.1 61.6) + (at 179.7 65.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) - (uuid "00f66fd0-85f0-401f-a764-c7fbfcc7f531") + (uuid "1f13cc4d-2edc-4739-9aaf-0c8003843c86") ) (via - (at 186 59) + (at 193.7 80.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) - (uuid "2a5f2dec-a77c-4558-8f39-f1e18126fbb6") + (uuid "4ab53e50-0f12-479e-a772-a5c55ddc793c") ) (via - (at 193.7 80.5) + (at 171.4 70.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) - (uuid "4ab53e50-0f12-479e-a772-a5c55ddc793c") + (uuid "4e4b14cd-4f0f-48d2-8b9a-334808e2d45a") ) (via - (at 172.974 72) + (at 181.9 57) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) - (uuid "4e4b14cd-4f0f-48d2-8b9a-334808e2d45a") + (uuid "5356ba5a-ba4e-4efc-b0ac-5819b6cff44f") ) (via (at 183.6928 80.518) @@ -4889,6 +5719,14 @@ (uuid "59d7f5f4-1bad-4dc0-8c24-cafd9da766c6") ) (via + (at 183.5 65.4) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "7222dab5-3646-49cd-8a68-a8e291488fa1") + ) + (via (at 185.547 76.7588) (size 0.6) (drill 0.3) @@ -4897,20 +5735,44 @@ (uuid "74e901e2-8ef6-487e-b6e4-d2e6c56c4694") ) (via - (at 183.2 61.6) + (at 185.5 65.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) - (uuid "82a4da1c-8b30-455f-b058-16dc056b68cc") + (uuid "92d68f6e-2465-4b86-b81f-566cdf4abf6b") ) (via - (at 186 61.6) + (at 179.5 58.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) - (uuid "cb110a1a-da40-48a1-9bb8-93ddd4363ab1") + (uuid "ae2a1552-b735-4b2c-9747-1a6664dfaf20") + ) + (via + (at 185.2 57) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "dbb69ca7-9938-481d-96b1-684c2925500f") + ) + (segment + (start 185.2 57) + (end 183.5 58.7) + (width 0.6) + (layer "B.Cu") + (net 2) + (uuid "0544136b-4447-4f58-ada8-11d042fde1d1") + ) + (segment + (start 179.9 65.2) + (end 179.7 65.4) + (width 0.6) + (layer "B.Cu") + (net 2) + (uuid "30993ada-2b58-4518-a419-1b3b6c4754d3") ) (segment (start 183.6928 78.613) @@ -4921,6 +5783,30 @@ (uuid "52411acc-323c-4bf2-807a-faf6efb121e5") ) (segment + (start 179.5 58.6) + (end 179.9 59) + (width 0.6) + (layer "B.Cu") + (net 2) + (uuid "57d794d4-74f3-4102-9291-7113ba5428cf") + ) + (segment + (start 179.9 59) + (end 179.9 65.2) + (width 0.6) + (layer "B.Cu") + (net 2) + (uuid "6005d06b-e369-4b4b-934a-28916aba3c99") + ) + (segment + (start 180.3 58.6) + (end 181.9 57) + (width 0.6) + (layer "B.Cu") + (net 2) + (uuid "7962b5f9-5f8f-46ed-b4ef-78952282b490") + ) + (segment (start 183.6928 80.518) (end 183.6928 78.613) (width 0.6) @@ -4929,12 +5815,28 @@ (uuid "c5bc0b62-3461-4c7c-9738-6a7d2a158560") ) (segment - (start 180.721 70.612) - (end 180.721 68.072) + (start 179.5 58.6) + (end 180.3 58.6) + (width 0.6) + (layer "B.Cu") + (net 2) + (uuid "f5207ee2-1bf0-45c5-9e5e-bc18aad67fed") + ) + (segment + (start 183.5 58.7) + (end 183.5 65.4) + (width 0.6) + (layer "B.Cu") + (net 2) + (uuid "f56b679d-8c51-4776-9ba8-c58c5ed455ad") + ) + (segment + (start 178.175 65.4) + (end 178.175 65.526) (width 0.6) (layer "F.Cu") (net 5) - (uuid "1dd3bd9e-9209-4e9e-8541-9517f46ec7be") + (uuid "0d69d064-e6bf-45d4-b5eb-982fad4dbf0c") ) (segment (start 181.8375 75.438) @@ -4945,6 +5847,14 @@ (uuid "2f26fcb6-0cf8-4b10-954e-72ef07fde244") ) (segment + (start 178.175 63.625) + (end 178.6 63.2) + (width 0.6) + (layer "F.Cu") + (net 5) + (uuid "461c3e59-1ed7-4afb-9dcc-eb18d68212e1") + ) + (segment (start 191.6938 79.4004) (end 192.024 79.0702) (width 0.6) @@ -4961,6 +5871,14 @@ (uuid "95c158c3-af60-40d6-9c7b-4c4ffa03f435") ) (segment + (start 180.721 70.612) + (end 178.181 70.612) + (width 0.6) + (layer "F.Cu") + (net 5) + (uuid "96dacc08-3ce0-44c9-a7f1-85d3ea568323") + ) + (segment (start 182.137 77.9095) (end 183.7116 77.9095) (width 0.6) @@ -4985,6 +5903,14 @@ (uuid "ab30972d-e7fb-4ca5-b39d-4b1cc5259485") ) (segment + (start 180.721 68.072) + (end 180.721 70.612) + (width 0.6) + (layer "F.Cu") + (net 5) + (uuid "b7722f8b-0e88-4a77-8a61-7a2abffed2e9") + ) + (segment (start 183.7436 78.015436) (end 185.128564 79.4004) (width 0.6) @@ -5001,6 +5927,22 @@ (uuid "c1f9e4cf-df6a-4c4a-924b-0d6a7d94b946") ) (segment + (start 178.175 65.526) + (end 180.721 68.072) + (width 0.6) + (layer "F.Cu") + (net 5) + (uuid "d630342c-030b-4f27-9d84-d4549eefa842") + ) + (segment + (start 178.175 65.4) + (end 178.175 63.625) + (width 0.6) + (layer "F.Cu") + (net 5) + (uuid "d74de5e6-3f94-4010-870f-5bead601f6e3") + ) + (segment (start 181.8375 71.7285) (end 181.8375 75.438) (width 0.6) @@ -5016,109 +5958,109 @@ (net 5) (uuid "f15e7ef4-7264-43d9-be28-c80fbd4a6272") ) - (segment - (start 178.181 70.612) - (end 180.721 70.612) - (width 0.6) - (layer "F.Cu") + (via + (at 178.175 65.4) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") (net 5) - (uuid "f74df2b9-c754-46cf-bd3b-717122c5b417") + (uuid "c7c8ce82-34b3-4264-b325-09eae1a06469") ) (segment - (start 179.3922 56.0078) - (end 179.3922 54.0432) + (start 169.4 75.4) + (end 171.9 72.9) (width 0.6) (layer "B.Cu") (net 5) - (uuid "338f860e-c327-4574-aaa0-1c23ca924325") + (uuid "0047a44c-fdab-4058-80b3-ae6a701366b6") ) (segment - (start 178.2 57.2) - (end 179.3922 56.0078) + (start 169.4 77.5388) + (end 169.4 75.4) (width 0.6) (layer "B.Cu") (net 5) - (uuid "3ee5c60a-c33b-4f6a-830d-f38dcb468f4c") + (uuid "22a5d2e3-8c73-4e26-80da-a87587c88282") ) (segment - (start 176.8729 74.2823) - (end 171.2849 74.2823) + (start 178.175 65.526) + (end 178.175 65.4) (width 0.6) (layer "B.Cu") (net 5) - (uuid "565579dc-8f6f-4677-a141-73225f4230b2") + (uuid "3f44d31e-dc41-452d-a3c4-39b610dc8b09") ) (segment - (start 168.4528 77.1144) - (end 168.4528 78.486) + (start 172.5 72.9) + (end 176.898788 72.9) (width 0.6) (layer "B.Cu") (net 5) - (uuid "5732284e-7d37-42fd-a3e1-744f92ba5d7a") + (uuid "44be082a-dba8-448b-bb9d-0b854c5893e0") ) (segment - (start 176.8729 74.2823) - (end 178.181 72.9742) + (start 179.5 54.151) + (end 178.181 52.832) (width 0.6) (layer "B.Cu") (net 5) - (uuid "69c9f5df-0b11-42d8-bda8-3f2227b66148") + (uuid "4c4ad6d1-bb09-4839-baac-f090f9467327") ) (segment - (start 178.2 65.551) - (end 178.2 57.2) + (start 171.9 72.9) + (end 172.5 72.9) (width 0.6) (layer "B.Cu") (net 5) - (uuid "72f0bbbd-d779-4d04-b5d5-34f2b9eb6f5a") + (uuid "4c5d1031-f165-42b9-9b29-825178e88f3e") ) (segment - (start 178.181 52.832) - (end 179.3922 54.0432) + (start 168.4528 78.486) + (end 169.4 77.5388) (width 0.6) (layer "B.Cu") (net 5) - (uuid "799f6f41-e57f-4502-8712-6c1c9e880dca") + (uuid "624238b3-2102-4d3e-b9bb-f9027c44ac6b") ) (segment - (start 179.0745 53.7255) - (end 179.3922 54.0432) + (start 176.898788 72.9) + (end 178.181 71.617788) (width 0.6) (layer "B.Cu") (net 5) - (uuid "8a61757c-e3f2-4485-80eb-492bcd319453") + (uuid "78fc53e7-729f-434c-8695-1feb8220f5bd") ) (segment - (start 171.2849 74.2823) - (end 168.4528 77.1144) + (start 178.181 71.617788) + (end 178.181 70.612) (width 0.6) (layer "B.Cu") (net 5) - (uuid "a4b230e3-64f8-4ec4-8026-37bd9c07d9c8") + (uuid "8d13d060-ea43-482f-9e31-2a6cba4e57d1") ) (segment - (start 180.721 68.072) - (end 178.2 65.551) + (start 179.5 56) + (end 179.5 54.151) (width 0.6) (layer "B.Cu") (net 5) - (uuid "e5abc3d5-ea19-4c0f-9b20-39438f642cb0") + (uuid "9486a830-9902-49ca-80a9-0a743133e7a6") ) (segment - (start 178.181 72.9742) - (end 178.181 70.612) + (start 178.175 57.325) + (end 179.5 56) (width 0.6) (layer "B.Cu") (net 5) - (uuid "eae69309-b258-45e4-83c0-6045cd14aae2") + (uuid "e28b3070-cf38-4bcd-9ae1-283dac4e8a1b") ) (segment - (start 178.181 52.832) - (end 179.0745 53.7255) + (start 178.175 65.4) + (end 178.175 57.325) (width 0.6) (layer "B.Cu") (net 5) - (uuid "fe0bd718-f445-4d3d-87c9-39d708fa3422") + (uuid "ea886e13-aa89-47c5-9759-834e6a6d96a4") ) (segment (start 186.488 72.338) @@ -5241,12 +6183,68 @@ (uuid "b249c96b-e752-4ad6-b3a2-b80049fcc2b9") ) (segment + (start 185.5 63.4) + (end 184.4 63.4) + (width 0.3) + (layer "F.Cu") + (net 19) + (uuid "0020be74-dbc6-4b12-86ce-492db73d2fe0") + ) + (segment + (start 185.8845 66.5155) + (end 186.5 65.9) + (width 0.3) + (layer "F.Cu") + (net 19) + (uuid "0d94d817-7f8c-4e7b-8087-a8931aaf1292") + ) + (segment + (start 184.1 63.1) + (end 184.1 58.913) + (width 0.3) + (layer "F.Cu") + (net 19) + (uuid "0ffecf2e-ee82-4ae8-82d7-81f18145bc3f") + ) + (segment + (start 186.5 65.9) + (end 186.5 64.4) + (width 0.3) + (layer "F.Cu") + (net 19) + (uuid "205e1fe8-5529-4dde-851f-ce8eb3083e3c") + ) + (segment (start 184.8358 73.533) (end 184.8358 72.898) (width 0.3) (layer "F.Cu") (net 19) - (uuid "4323232d-2bb9-43fd-953c-f940d79e71a0") + (uuid "31600f82-987b-49e7-885a-6eb11808ee5e") + ) + (segment + (start 184.531 72.5932) + (end 184.531 67.4) + (width 0.3) + (layer "F.Cu") + (net 19) + (uuid "34893bfd-6d59-4f7a-9ce5-828b553eeca7") + ) + (segment + (start 184.531 67.4) + (end 185.4155 66.5155) + (width 0.3) + (layer "F.Cu") + (net 19) + (uuid "4c44751e-b88a-4a1a-bc15-1238442fd804") + ) + (segment + (start 184.1 58.913) + (end 184.7 58.313) + (width 0.3) + (layer "F.Cu") + (net 19) + (uuid "565acc51-2345-4577-8766-0b860e353925") ) (segment (start 184.8358 72.898) @@ -5254,7 +6252,7 @@ (width 0.3) (layer "F.Cu") (net 19) - (uuid "94a3dc25-3e36-45c1-a7e7-0be0591ff9ef") + (uuid "714e9fee-c9ee-40a0-a260-ac9954669f4c") ) (segment (start 190.3868 75.9472) @@ -5265,20 +6263,44 @@ (uuid "9eaeee74-53f2-4dc0-884f-cb59ef2718bc") ) (segment - (start 188.341 55.372) - (end 184.531 59.182) + (start 185.4155 66.5155) + (end 185.8845 66.5155) (width 0.3) (layer "F.Cu") (net 19) - (uuid "a2275f73-6c24-42b9-8670-708f7c2030c4") + (uuid "d0d1ca93-24e8-4b0d-9389-acdcde311169") ) (segment - (start 184.531 59.182) - (end 184.531 72.5932) + (start 186.5 64.4) + (end 185.5 63.4) + (width 0.3) + (layer "F.Cu") + (net 19) + (uuid "d3f5f83e-b9d7-4fd5-910b-511aa22bc7c6") + ) + (segment + (start 185.4 58.313) + (end 188.341 55.372) + (width 0.3) + (layer "F.Cu") + (net 19) + (uuid "d8fe3225-a664-4d69-b221-10b0e777ea6b") + ) + (segment + (start 184.7 58.313) + (end 185.4 58.313) + (width 0.3) + (layer "F.Cu") + (net 19) + (uuid "dbe1efb6-4d69-4518-b2a6-9772614b1231") + ) + (segment + (start 184.4 63.4) + (end 184.1 63.1) (width 0.3) (layer "F.Cu") (net 19) - (uuid "a833bb7e-fedf-4382-a556-7dc0ca093717") + (uuid "e2386f84-1336-4523-8497-807d947af56d") ) (segment (start 190.3868 77.851) @@ -5577,260 +6599,260 @@ (uuid "ebd6b3ae-84f3-42b6-b2d9-01901f8d5d87") ) (segment - (start 185.2 54.1) + (start 184.5 56.2) (end 184.5 54.8) (width 0.3) (layer "B.Cu") (net 25) - (uuid "2572497f-0a77-45a4-94aa-d71375105c79") + (uuid "0eae64ba-e825-4c71-8246-d035a7bfa0e1") ) (segment - (start 184.5 56.2) - (end 182 58.7) + (start 178.8414 74.422) + (end 182 71.2634) (width 0.3) (layer "B.Cu") (net 25) - (uuid "2995a8b1-2072-451f-b646-d274d3482d31") + (uuid "1bbc19a6-07c9-44c5-9f9f-c173108f92d0") ) (segment - (start 182 58.7) - (end 182 71.2634) + (start 182 71.2634) + (end 182 66.4) (width 0.3) (layer "B.Cu") (net 25) - (uuid "358c956c-47dd-4f06-ac3e-fe0ce6e256a1") + (uuid "2a40c0d7-3ffb-4e89-a0c6-8360e39fb98e") ) (segment - (start 187.073 54.1) + (start 184.5 54.8) (end 185.2 54.1) (width 0.3) (layer "B.Cu") (net 25) - (uuid "583384eb-e76f-424e-92a4-df3bf74af911") + (uuid "375b60ee-edd9-41e2-ab43-d2505d671855") ) (segment - (start 184.5 54.8) - (end 184.5 56.2) + (start 185.2 54.1) + (end 187.073 54.1) (width 0.3) (layer "B.Cu") (net 25) - (uuid "7004acfc-a3fd-41e7-bb73-a129104c5e3a") + (uuid "3a14ae3f-e3a4-4a2a-9120-a63b3a6dd8ce") ) (segment - (start 188.341 52.832) - (end 187.073 54.1) + (start 182.5 65.9) + (end 182.5 58.2) (width 0.3) (layer "B.Cu") (net 25) - (uuid "abc52939-38a0-4852-842b-47341ed5dc3f") + (uuid "5fd82ad6-391d-477f-8f41-928e220ee166") ) (segment - (start 182 71.2634) - (end 178.8414 74.422) + (start 182.5 58.2) + (end 184.5 56.2) (width 0.3) (layer "B.Cu") (net 25) - (uuid "c56c7d81-1f4d-43ed-b230-23e801ba84cf") + (uuid "9de449f7-e6b7-40aa-850e-706a83582d95") ) (segment - (start 175.8696 89.5396) - (end 177.15 90.82) + (start 182 66.4) + (end 182.5 65.9) (width 0.3) - (layer "F.Cu") - (net 26) - (uuid "370f0b9e-3da4-4a59-a418-b1ae2bb94dd4") + (layer "B.Cu") + (net 25) + (uuid "e20f844e-276c-45b3-9b81-4517f04a6d8d") ) (segment - (start 175.8696 86.618093) - (end 175.8696 89.5396) + (start 187.073 54.1) + (end 188.341 52.832) (width 0.3) - (layer "F.Cu") - (net 26) - (uuid "9d635e56-ae2a-47f1-8fc4-224a8d8d6548") + (layer "B.Cu") + (net 25) + (uuid "eea78853-c266-4fe1-ad67-76188b25c21b") ) (segment - (start 176.937 85.550693) - (end 175.8696 86.618093) + (start 189.85 85.5068) + (end 189.85 88.28) (width 0.3) (layer "F.Cu") (net 26) - (uuid "b2d0eb9f-bdfe-4cd0-9671-fcbe9909f53f") + (uuid "72131e90-b4d8-4ec3-a84e-cc37bdfd201a") ) (segment - (start 176.937 83.6345) - (end 176.937 85.550693) + (start 189.0868 84.7436) + (end 189.85 85.5068) (width 0.3) (layer "F.Cu") (net 26) - (uuid "ef4760a8-0c7b-49ac-bdf5-becbd1f66e43") + (uuid "dc06aaf2-af15-476e-a14f-38182a7a662d") ) (segment - (start 177.587 85.6078) - (end 177.15 86.0448) + (start 189.0868 83.576) + (end 189.0868 84.7436) (width 0.3) (layer "F.Cu") - (net 27) - (uuid "81d3581f-6ee3-4c12-8f4a-aa668ef69756") + (net 26) + (uuid "e6ead4d5-a998-4b5d-957d-53a4183cfc64") ) (segment - (start 177.15 86.0448) - (end 177.15 88.28) + (start 174.61 87.170587) + (end 174.61 88.28) (width 0.3) (layer "F.Cu") (net 27) - (uuid "ab495f3e-7514-42e1-91b1-5a19075aff39") + (uuid "695e2d55-deae-4032-aebe-e8d47b07d0dc") ) (segment - (start 177.587 83.6345) - (end 177.587 85.6078) + (start 176.287 83.6345) + (end 176.287 85.493587) (width 0.3) (layer "F.Cu") (net 27) - (uuid "c7e63412-4466-4040-ac92-f0e78e929e61") + (uuid "b2c558d1-ec5b-4644-83c0-efeeae6a725c") ) (segment - (start 175.637 83.6345) - (end 175.637 85.436481) + (start 176.287 85.493587) + (end 174.61 87.170587) (width 0.3) (layer "F.Cu") - (net 28) - (uuid "00415cc4-d914-42e8-9c7b-8babe6b90905") + (net 27) + (uuid "b96608fe-61ad-4bf9-aa63-90f3d11fc822") ) (segment - (start 175.637 85.436481) - (end 173.3296 87.743881) + (start 190.3868 84.313499) + (end 192.39 86.316699) (width 0.3) (layer "F.Cu") (net 28) - (uuid "611cf2bd-ee44-406e-918c-3f24142719d9") + (uuid "860719ed-50d9-40fc-8c15-57bf6fcd8a2c") ) (segment - (start 173.3296 89.5396) - (end 174.61 90.82) + (start 190.3868 83.576) + (end 190.3868 84.313499) (width 0.3) (layer "F.Cu") (net 28) - (uuid "b7319d03-6fd4-4ca1-b72c-a86d4764de68") + (uuid "dea4550a-4008-4934-95f1-ddf2ba6b0a2d") ) (segment - (start 173.3296 87.743881) - (end 173.3296 89.5396) + (start 192.39 86.316699) + (end 192.39 88.28) (width 0.3) (layer "F.Cu") (net 28) - (uuid "c77818ff-7f52-4c2c-9863-27021cc04648") + (uuid "fa85a486-4e54-4d60-86fe-a8436cf7e229") ) (segment - (start 174.61 87.170587) - (end 174.61 88.28) + (start 179.537 85.6332) + (end 179.537 83.6345) (width 0.3) (layer "F.Cu") (net 29) - (uuid "695e2d55-deae-4032-aebe-e8d47b07d0dc") + (uuid "0ce511ae-e068-497b-8a98-de7c9c286f78") ) (segment - (start 176.287 83.6345) - (end 176.287 85.493587) + (start 182.23 90.82) + (end 180.9496 89.5396) (width 0.3) (layer "F.Cu") (net 29) - (uuid "b2c558d1-ec5b-4644-83c0-efeeae6a725c") + (uuid "7835b9f6-ca0e-4f26-bc11-722b70153b6f") ) (segment - (start 176.287 85.493587) - (end 174.61 87.170587) + (start 180.9496 89.5396) + (end 180.9496 87.0458) (width 0.3) (layer "F.Cu") (net 29) - (uuid "b96608fe-61ad-4bf9-aa63-90f3d11fc822") + (uuid "dfc20d97-bc2d-4ea4-9124-9355e73f73cc") ) (segment - (start 187.138 85.785) - (end 186.0296 86.8934) + (start 180.9496 87.0458) + (end 179.537 85.6332) (width 0.3) (layer "F.Cu") - (net 30) - (uuid "4fc0be1a-ccce-419e-acce-f88195495dc5") + (net 29) + (uuid "f39cf257-8b97-4900-b97b-836b815ac15e") ) (segment - (start 186.0296 89.5396) - (end 187.31 90.82) + (start 187.788 83.576) + (end 187.788 86.8876) (width 0.3) (layer "F.Cu") (net 30) - (uuid "59695e2f-06dd-4fbe-8bc0-429a793c69ea") + (uuid "f52a6d3c-d00a-4764-8b1e-6c866d5d07fd") ) (segment - (start 187.138 83.576) - (end 187.138 85.785) + (start 187.31 87.3656) + (end 187.31 88.28) (width 0.3) (layer "F.Cu") (net 30) - (uuid "82c7ed2e-5632-4443-bc39-e9edcb16fca5") + (uuid "fa12a0b0-2ab3-42a8-9f76-c59fa5f30007") ) (segment - (start 186.0296 86.8934) - (end 186.0296 89.5396) + (start 187.788 86.8876) + (end 187.31 87.3656) (width 0.3) (layer "F.Cu") (net 30) - (uuid "e90d2202-7329-4291-b214-0047ca207bf4") + (uuid "ffed2988-7587-4616-884b-199891046790") ) (segment - (start 183.4896 87.4522) - (end 185.8368 85.105) + (start 175.8696 89.5396) + (end 177.15 90.82) (width 0.3) (layer "F.Cu") (net 31) - (uuid "1efb7a62-2ff7-488f-80bb-7b0011912fbd") + (uuid "370f0b9e-3da4-4a59-a418-b1ae2bb94dd4") ) (segment - (start 183.4896 89.5396) - (end 183.4896 87.4522) + (start 175.8696 86.618093) + (end 175.8696 89.5396) (width 0.3) (layer "F.Cu") (net 31) - (uuid "6ed3ba94-206c-4573-a43b-ca3a1c9d78c7") + (uuid "9d635e56-ae2a-47f1-8fc4-224a8d8d6548") ) (segment - (start 184.77 90.82) - (end 183.4896 89.5396) + (start 176.937 85.550693) + (end 175.8696 86.618093) (width 0.3) (layer "F.Cu") (net 31) - (uuid "962c24fc-baa1-4213-a9d5-2d03ecc6ff6a") + (uuid "b2d0eb9f-bdfe-4cd0-9671-fcbe9909f53f") ) (segment - (start 185.8368 85.105) - (end 185.8368 83.576) + (start 176.937 83.6345) + (end 176.937 85.550693) (width 0.3) (layer "F.Cu") (net 31) - (uuid "d3d0bdfe-4beb-4ad4-ad27-a610d220741c") + (uuid "ef4760a8-0c7b-49ac-bdf5-becbd1f66e43") ) (segment - (start 187.788 83.576) - (end 187.788 86.8876) + (start 186.4868 85.3186) + (end 184.77 87.0354) (width 0.3) (layer "F.Cu") (net 32) - (uuid "f52a6d3c-d00a-4764-8b1e-6c866d5d07fd") + (uuid "0be8a057-3b80-4de9-8b72-d4f18977bbba") ) (segment - (start 187.31 87.3656) - (end 187.31 88.28) + (start 186.4868 83.576) + (end 186.4868 85.3186) (width 0.3) (layer "F.Cu") (net 32) - (uuid "fa12a0b0-2ab3-42a8-9f76-c59fa5f30007") + (uuid "20c0b42a-476b-487e-a51e-d48b504eee86") ) (segment - (start 187.788 86.8876) - (end 187.31 87.3656) + (start 184.77 87.0354) + (end 184.77 88.28) (width 0.3) (layer "F.Cu") (net 32) - (uuid "ffed2988-7587-4616-884b-199891046790") + (uuid "c48f50b5-9619-47b7-9f47-04d4ded39122") ) (segment (start 178.435 89.565) @@ -5865,60 +6887,68 @@ (uuid "fc02123e-5039-4edb-bd2d-90343b08378a") ) (segment - (start 186.4868 85.3186) - (end 184.77 87.0354) + (start 187.138 85.785) + (end 186.0296 86.8934) (width 0.3) (layer "F.Cu") (net 34) - (uuid "0be8a057-3b80-4de9-8b72-d4f18977bbba") + (uuid "4fc0be1a-ccce-419e-acce-f88195495dc5") ) (segment - (start 186.4868 83.576) - (end 186.4868 85.3186) + (start 186.0296 89.5396) + (end 187.31 90.82) (width 0.3) (layer "F.Cu") (net 34) - (uuid "20c0b42a-476b-487e-a51e-d48b504eee86") + (uuid "59695e2f-06dd-4fbe-8bc0-429a793c69ea") ) (segment - (start 184.77 87.0354) - (end 184.77 88.28) + (start 187.138 83.576) + (end 187.138 85.785) (width 0.3) (layer "F.Cu") (net 34) - (uuid "c48f50b5-9619-47b7-9f47-04d4ded39122") + (uuid "82c7ed2e-5632-4443-bc39-e9edcb16fca5") ) (segment - (start 179.537 85.6332) - (end 179.537 83.6345) + (start 186.0296 86.8934) + (end 186.0296 89.5396) + (width 0.3) + (layer "F.Cu") + (net 34) + (uuid "e90d2202-7329-4291-b214-0047ca207bf4") + ) + (segment + (start 188.595 89.565) + (end 188.595 85.277) (width 0.3) (layer "F.Cu") (net 35) - (uuid "0ce511ae-e068-497b-8a98-de7c9c286f78") + (uuid "0041b512-5b3d-4800-83fc-7602713e7e1b") ) (segment - (start 182.23 90.82) - (end 180.9496 89.5396) + (start 188.595 85.277) + (end 188.438 85.12) (width 0.3) (layer "F.Cu") (net 35) - (uuid "7835b9f6-ca0e-4f26-bc11-722b70153b6f") + (uuid "8db2da57-f909-46c7-92b8-5403c7b171f6") ) (segment - (start 180.9496 89.5396) - (end 180.9496 87.0458) + (start 189.85 90.82) + (end 188.595 89.565) (width 0.3) (layer "F.Cu") (net 35) - (uuid "dfc20d97-bc2d-4ea4-9124-9355e73f73cc") + (uuid "9d9749a6-1cfb-4c75-9613-2b7c614d7ee5") ) (segment - (start 180.9496 87.0458) - (end 179.537 85.6332) + (start 188.438 85.12) + (end 188.438 83.576) (width 0.3) (layer "F.Cu") (net 35) - (uuid "f39cf257-8b97-4900-b97b-836b815ac15e") + (uuid "cc4999c2-c386-48c1-954a-9fe26c24865a") ) (segment (start 178.887 83.6345) @@ -6025,52 +7055,84 @@ (uuid "ea537ef2-73c3-49d3-a65e-6a755d578622") ) (segment - (start 174.3964 73.9394) - (end 174.3964 72.1106) + (start 173.4 70.5) + (end 173.4 70.5036) (width 0.3) (layer "F.Cu") (net 40) - (uuid "3a7e2368-c3b4-4b73-9f81-90824404d92f") + (uuid "4a11d7b9-2c60-45ea-8672-96d83f8d7905") ) (segment (start 177.587 77.13) - (end 174.3964 73.9394) + (end 177.587 77.9095) (width 0.3) (layer "F.Cu") (net 40) - (uuid "84196c33-bec3-4197-bf73-305cbe8bf127") + (uuid "643af5c8-a5d4-4dc6-8b47-5ae2b6b887e6") ) (segment - (start 177.587 77.9095) + (start 173.4 70.5036) + (end 174.3964 71.5) + (width 0.3) + (layer "F.Cu") + (net 40) + (uuid "6addfb9d-016e-4d06-9e31-d195cfffb844") + ) + (segment + (start 174.3964 73.9394) (end 177.587 77.13) (width 0.3) (layer "F.Cu") (net 40) - (uuid "8eff3274-ff55-494a-8ca6-9da63fafaad7") + (uuid "9ceefbef-4143-4f3f-af73-4257dce86e55") + ) + (segment + (start 174.3964 71.5) + (end 174.3964 73.9394) + (width 0.3) + (layer "F.Cu") + (net 40) + (uuid "a8c571bb-f39a-4656-a262-28d8cbedae3b") ) (via - (at 174.3964 72.1106) + (at 173.4 70.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 40) - (uuid "56a641e7-3471-4ef6-bab6-f1bf7a553c8c") + (uuid "54c89b40-fe6c-49e3-9c74-4a6043f5c9af") + ) + (segment + (start 174.3964 56.6674) + (end 173.101 55.372) + (width 0.3) + (layer "B.Cu") + (net 40) + (uuid "4f13b537-54d4-4153-889c-8e3b9fdda90d") ) (segment - (start 174.3964 72.1106) + (start 174.3964 69) (end 174.3964 56.6674) (width 0.3) (layer "B.Cu") (net 40) - (uuid "2edab16c-533d-4e56-ad66-2d4d7b385a5f") + (uuid "5ce95112-75b2-40a4-8e4d-aaf4668abc1e") ) (segment - (start 174.3964 56.6674) - (end 173.101 55.372) + (start 174.3964 69.5036) + (end 173.4 70.5) + (width 0.3) + (layer "B.Cu") + (net 40) + (uuid "7b22f3ea-418d-467a-9762-e9276f9e842d") + ) + (segment + (start 174.3964 69) + (end 174.3964 69.5036) (width 0.3) (layer "B.Cu") (net 40) - (uuid "d08117ff-4261-4aaa-8715-86aa8ec4c280") + (uuid "9f164b72-2637-4a8d-9dda-444620e189d9") ) (segment (start 176.9364 74.9046) @@ -6105,220 +7167,228 @@ (uuid "f131caea-972c-4033-8d3c-ff2eee443f0c") ) (segment - (start 167.8432 64.9808) - (end 169.3342 64.9808) + (start 183.4896 87.4522) + (end 185.8368 85.105) (width 0.3) (layer "F.Cu") (net 42) - (uuid "1f299ac6-d838-4484-970d-57ba563bdba3") + (uuid "1efb7a62-2ff7-488f-80bb-7b0011912fbd") ) (segment - (start 171.323 62.992) - (end 173.101 62.992) + (start 183.4896 89.5396) + (end 183.4896 87.4522) (width 0.3) (layer "F.Cu") (net 42) - (uuid "6958c6f0-35fc-4d16-a4ce-95ccd72a835e") + (uuid "6ed3ba94-206c-4573-a43b-ca3a1c9d78c7") ) (segment - (start 169.3342 64.9808) - (end 171.323 62.992) + (start 184.77 90.82) + (end 183.4896 89.5396) (width 0.3) (layer "F.Cu") (net 42) - (uuid "a1a6830e-3d27-4186-b7fa-071bd9590df1") + (uuid "962c24fc-baa1-4213-a9d5-2d03ecc6ff6a") ) (segment - (start 175.641 52.832) - (end 173.7898 50.9808) + (start 185.8368 85.105) + (end 185.8368 83.576) + (width 0.3) + (layer "F.Cu") + (net 42) + (uuid "d3d0bdfe-4beb-4ad4-ad27-a610d220741c") + ) + (segment + (start 175.637 83.6345) + (end 175.637 85.436481) (width 0.3) (layer "F.Cu") (net 43) - (uuid "ca9c05f8-bd4c-4b91-8dc5-801d901ebd81") + (uuid "00415cc4-d914-42e8-9c7b-8babe6b90905") ) (segment - (start 173.7898 50.9808) - (end 167.8432 50.9808) + (start 175.637 85.436481) + (end 173.3296 87.743881) (width 0.3) (layer "F.Cu") (net 43) - (uuid "d63094e8-b85e-4dd6-9db7-27f1bf433480") + (uuid "611cf2bd-ee44-406e-918c-3f24142719d9") ) (segment - (start 174.371 61.722) - (end 175.641 62.992) + (start 173.3296 89.5396) + (end 174.61 90.82) (width 0.3) (layer "F.Cu") - (net 44) - (uuid "0d07e66b-9e34-4e07-80c4-8d35352b6cdb") + (net 43) + (uuid "b7319d03-6fd4-4ca1-b72c-a86d4764de68") ) (segment - (start 167.8432 62.9808) - (end 169.6578 62.9808) + (start 173.3296 87.743881) + (end 173.3296 89.5396) (width 0.3) (layer "F.Cu") - (net 44) - (uuid "24e4023d-385e-4893-9d15-0681e09d32fa") + (net 43) + (uuid "c77818ff-7f52-4c2c-9863-27021cc04648") ) (segment - (start 170.9166 61.722) - (end 174.371 61.722) + (start 191.1096 85.852) + (end 191.1096 89.5096) (width 0.3) (layer "F.Cu") (net 44) - (uuid "645c5e16-6cd0-458f-9f1c-9160b5a125a7") + (uuid "135d9c37-5e90-4aa3-95a2-079435df183e") ) (segment - (start 169.6578 62.9808) - (end 170.9166 61.722) + (start 189.7368 83.576) + (end 189.7368 84.4792) (width 0.3) (layer "F.Cu") (net 44) - (uuid "c31ca72c-e3b4-4746-b905-02e82c9fa065") + (uuid "1eed31c4-2f50-4c9d-a974-12c0b1bad81d") ) (segment - (start 168.6804 66.9808) - (end 171.3992 64.262) + (start 192.3796 90.7796) + (end 191.1096 89.5096) (width 0.3) (layer "F.Cu") - (net 45) - (uuid "2ecf9b72-c10f-48e1-a07f-ed92776875b3") + (net 44) + (uuid "402ff400-fcc1-4172-9012-0e89c62aa341") ) (segment - (start 174.371 64.262) - (end 175.641 65.532) + (start 189.7368 84.4792) + (end 191.1096 85.852) (width 0.3) (layer "F.Cu") - (net 45) - (uuid "42c14f11-7742-4cdd-bbb0-018ddf535acf") + (net 44) + (uuid "ae02e393-a7bd-463c-8da7-03282d587e93") ) (segment - (start 171.3992 64.262) - (end 174.371 64.262) + (start 177.587 85.6078) + (end 177.15 86.0448) (width 0.3) (layer "F.Cu") (net 45) - (uuid "cac82575-fac3-4879-8014-bfd6b7b01738") + (uuid "81d3581f-6ee3-4c12-8f4a-aa668ef69756") ) (segment - (start 167.8432 66.9808) - (end 168.6804 66.9808) + (start 177.15 86.0448) + (end 177.15 88.28) (width 0.3) (layer "F.Cu") (net 45) - (uuid "d098e7f8-07c7-4b93-ae69-04fbb4d5c143") + (uuid "ab495f3e-7514-42e1-91b1-5a19075aff39") ) (segment - (start 168.0474 58.7766) - (end 171.959 58.7766) + (start 177.587 83.6345) + (end 177.587 85.6078) (width 0.3) (layer "F.Cu") - (net 46) - (uuid "2879fb70-559a-4505-b5b0-3bf2a27911aa") + (net 45) + (uuid "c7e63412-4466-4040-ac92-f0e78e929e61") ) (segment - (start 172.3644 59.182) - (end 174.371 59.182) + (start 167.8432 64.9808) + (end 169.3342 64.9808) (width 0.3) (layer "F.Cu") (net 46) - (uuid "2be5b799-46f2-4461-8a7a-94c4d4903320") + (uuid "1f299ac6-d838-4484-970d-57ba563bdba3") ) (segment - (start 171.959 58.7766) - (end 172.3644 59.182) + (start 171.323 62.992) + (end 173.101 62.992) (width 0.3) (layer "F.Cu") (net 46) - (uuid "6d0f4736-1f64-4c10-97c4-b49d547255c3") + (uuid "6958c6f0-35fc-4d16-a4ce-95ccd72a835e") ) (segment - (start 174.371 59.182) - (end 175.641 60.452) + (start 169.3342 64.9808) + (end 171.323 62.992) (width 0.3) (layer "F.Cu") (net 46) - (uuid "fd874821-5bac-4a1a-a568-9e42a4bae4e7") + (uuid "a1a6830e-3d27-4186-b7fa-071bd9590df1") ) (segment - (start 167.8432 54.9808) - (end 169.7888 54.9808) + (start 175.641 52.832) + (end 173.7898 50.9808) (width 0.3) (layer "F.Cu") (net 47) - (uuid "45f437a6-2dba-4969-a4cb-288258251c8f") + (uuid "ca9c05f8-bd4c-4b91-8dc5-801d901ebd81") ) (segment - (start 171.45 56.642) - (end 174.371 56.642) + (start 173.7898 50.9808) + (end 167.8432 50.9808) (width 0.3) (layer "F.Cu") (net 47) - (uuid "5f147211-95d4-4e6e-ad1d-43819031ce72") + (uuid "d63094e8-b85e-4dd6-9db7-27f1bf433480") ) (segment - (start 169.7888 54.9808) - (end 171.45 56.642) + (start 174.371 61.722) + (end 175.641 62.992) (width 0.3) (layer "F.Cu") - (net 47) - (uuid "722d426a-6a7d-44c7-bf26-d8d8e422f6b7") + (net 48) + (uuid "0d07e66b-9e34-4e07-80c4-8d35352b6cdb") ) (segment - (start 174.371 56.642) - (end 175.641 57.912) + (start 167.8432 62.9808) + (end 169.6578 62.9808) (width 0.3) (layer "F.Cu") - (net 47) - (uuid "e2281fb8-25a6-4dd4-b4a1-b5f7aca0fd6b") + (net 48) + (uuid "24e4023d-385e-4893-9d15-0681e09d32fa") ) (segment - (start 170.6626 57.912) - (end 173.101 57.912) + (start 170.9166 61.722) + (end 174.371 61.722) (width 0.3) (layer "F.Cu") (net 48) - (uuid "2b8d7e76-5650-474a-bdf2-0d48020ef872") + (uuid "645c5e16-6cd0-458f-9f1c-9160b5a125a7") ) (segment - (start 169.7314 56.9808) - (end 170.6626 57.912) + (start 169.6578 62.9808) + (end 170.9166 61.722) (width 0.3) (layer "F.Cu") (net 48) - (uuid "bbec19fa-6d90-40e9-85e9-dcc6274212e5") + (uuid "c31ca72c-e3b4-4746-b905-02e82c9fa065") ) (segment - (start 167.8432 56.9808) - (end 169.7314 56.9808) + (start 168.6804 66.9808) + (end 171.3992 64.262) (width 0.3) (layer "F.Cu") - (net 48) - (uuid "d4096c26-03c9-47f1-9bf0-c9a752bb6ad3") + (net 49) + (uuid "2ecf9b72-c10f-48e1-a07f-ed92776875b3") ) (segment - (start 170.2562 60.452) - (end 173.101 60.452) + (start 174.371 64.262) + (end 175.641 65.532) (width 0.3) (layer "F.Cu") (net 49) - (uuid "7767ca58-f733-4d38-bdde-7fb0b3c035f6") + (uuid "42c14f11-7742-4cdd-bbb0-018ddf535acf") ) (segment - (start 169.7274 60.9808) - (end 170.2562 60.452) + (start 171.3992 64.262) + (end 174.371 64.262) (width 0.3) (layer "F.Cu") (net 49) - (uuid "ca9cf5a2-6dba-405e-9bbb-bb64a6e52c7a") + (uuid "cac82575-fac3-4879-8014-bfd6b7b01738") ) (segment - (start 167.8432 60.9808) - (end 169.7274 60.9808) + (start 167.8432 66.9808) + (end 168.6804 66.9808) (width 0.3) (layer "F.Cu") (net 49) - (uuid "e9311eaf-51b2-45b3-926d-5df97016da6a") + (uuid "d098e7f8-07c7-4b93-ae69-04fbb4d5c143") ) (segment (start 173.101 65.532) @@ -6353,116 +7423,124 @@ (uuid "fe8e1c2b-5329-4bfd-89b2-cf9635f3fe48") ) (segment - (start 170.3258 72.9808) - (end 172.6946 70.612) + (start 176.6062 54.0766) + (end 174.4472 54.0766) (width 0.3) - (layer "F.Cu") + (layer "B.Cu") (net 52) - (uuid "92095666-bae2-4d74-9241-ba2955108441") + (uuid "2e4bc2cb-4be7-491c-94e6-b4b560f37a1b") ) (segment - (start 167.8432 72.9808) - (end 170.3258 72.9808) + (start 176.9618 66.7512) + (end 176.9618 54.4322) (width 0.3) - (layer "F.Cu") + (layer "B.Cu") (net 52) - (uuid "9dfe1863-2802-43bc-b0cf-263f45925955") + (uuid "6b867eb0-bece-4dab-a243-3f8876e0bad7") ) (segment - (start 172.6946 70.612) - (end 175.641 70.612) + (start 176.9618 54.4322) + (end 176.6062 54.0766) (width 0.3) - (layer "F.Cu") + (layer "B.Cu") (net 52) - (uuid "f5f6dfa9-066c-4455-94ec-d0c20df4b628") + (uuid "6da2ca86-e5d6-4567-833e-42646b69f824") ) (segment - (start 176.9618 69.2912) - (end 178.181 68.072) + (start 173.3514 52.9808) + (end 167.8432 52.9808) (width 0.3) (layer "B.Cu") - (net 53) - (uuid "26bfa144-a55f-466d-84e7-6a8d03946351") + (net 52) + (uuid "9ebc5b73-2fc7-4af2-b639-28a10ddb59a8") ) (segment - (start 167.8432 74.9808) - (end 169.7482 73.0758) + (start 174.4472 54.0766) + (end 173.3514 52.9808) (width 0.3) (layer "B.Cu") - (net 53) - (uuid "54759457-1407-4b14-9061-e118b2255cbd") + (net 52) + (uuid "ce04fabf-4704-4d79-93b8-7a01948bcc2e") ) (segment - (start 169.7482 73.0758) - (end 175.3616 73.0758) + (start 175.641 68.072) + (end 176.9618 66.7512) (width 0.3) (layer "B.Cu") + (net 52) + (uuid "f96142f1-55ab-4541-962c-7bcd01ef13a1") + ) + (segment + (start 168.0474 58.7766) + (end 171.959 58.7766) + (width 0.3) + (layer "F.Cu") (net 53) - (uuid "55e7cce3-0b88-48a6-af23-400f255fba72") + (uuid "2879fb70-559a-4505-b5b0-3bf2a27911aa") ) (segment - (start 175.3616 73.0758) - (end 176.9618 71.4756) + (start 172.3644 59.182) + (end 174.371 59.182) (width 0.3) - (layer "B.Cu") + (layer "F.Cu") (net 53) - (uuid "886f298c-64d2-439a-bbf3-b6206a46bd6f") + (uuid "2be5b799-46f2-4461-8a7a-94c4d4903320") ) (segment - (start 176.9618 71.4756) - (end 176.9618 69.2912) + (start 171.959 58.7766) + (end 172.3644 59.182) (width 0.3) - (layer "B.Cu") + (layer "F.Cu") (net 53) - (uuid "a5de1e07-2ef7-4ae7-ab10-376e45a8788b") + (uuid "6d0f4736-1f64-4c10-97c4-b49d547255c3") ) (segment - (start 176.6062 54.0766) - (end 174.4472 54.0766) + (start 174.371 59.182) + (end 175.641 60.452) (width 0.3) - (layer "B.Cu") - (net 54) - (uuid "2e4bc2cb-4be7-491c-94e6-b4b560f37a1b") + (layer "F.Cu") + (net 53) + (uuid "fd874821-5bac-4a1a-a568-9e42a4bae4e7") ) (segment - (start 176.9618 66.7512) - (end 176.9618 54.4322) + (start 170.9053 71.9187) + (end 176.5187 71.9187) (width 0.3) (layer "B.Cu") (net 54) - (uuid "6b867eb0-bece-4dab-a243-3f8876e0bad7") + (uuid "0195f42a-ff04-4d32-bba6-649119898a11") ) (segment - (start 176.9618 54.4322) - (end 176.6062 54.0766) + (start 176.9618 69.2912) + (end 178.181 68.072) (width 0.3) (layer "B.Cu") (net 54) - (uuid "6da2ca86-e5d6-4567-833e-42646b69f824") + (uuid "26bfa144-a55f-466d-84e7-6a8d03946351") ) (segment - (start 173.3514 52.9808) - (end 167.8432 52.9808) + (start 167.8432 74.9808) + (end 170.9053 71.9187) (width 0.3) (layer "B.Cu") (net 54) - (uuid "9ebc5b73-2fc7-4af2-b639-28a10ddb59a8") + (uuid "5805c96b-9cf3-4d09-8d5a-d79f9ed493e8") ) (segment - (start 174.4472 54.0766) - (end 173.3514 52.9808) + (start 176.9618 71.4756) + (end 176.9618 69.2912) (width 0.3) (layer "B.Cu") (net 54) - (uuid "ce04fabf-4704-4d79-93b8-7a01948bcc2e") + (uuid "a5de1e07-2ef7-4ae7-ab10-376e45a8788b") ) (segment - (start 175.641 68.072) - (end 176.9618 66.7512) + (start 176.5187 71.9187) + (end 176.9618 71.4756) (width 0.3) (layer "B.Cu") (net 54) - (uuid "f96142f1-55ab-4541-962c-7bcd01ef13a1") + (uuid "adba68a4-6d95-47cb-81c2-c002a22f5a2c") ) (segment (start 182.1673 82.9542) @@ -6481,6 +7559,14 @@ (uuid "0ae9e82a-3c6f-4282-b2c0-a9aaf7fef596") ) (segment + (start 178.6 60.9) + (end 180.2 60.9) + (width 0.6) + (layer "F.Cu") + (net 55) + (uuid "0d2942c2-cb4d-4d3b-a3f9-bfcc55b6b9cb") + ) + (segment (start 169.926 85.9028) (end 168.91 86.9188) (width 0.6) @@ -6489,6 +7575,22 @@ (uuid "1d7b4f91-6238-499a-8145-a48446ba2d17") ) (segment + (start 180.6 60.9) + (end 181.1 61.4) + (width 0.6) + (layer "F.Cu") + (net 55) + (uuid "428beaad-0811-4f6f-b5f4-9faabce7ff74") + ) + (segment + (start 181.1 64.9625) + (end 181.5375 65.4) + (width 0.6) + (layer "F.Cu") + (net 55) + (uuid "51faf344-86c4-4ba5-ab4b-b5b2c6f3efbe") + ) + (segment (start 192.0494 82.5192) (end 192.0494 83.312) (width 0.6) @@ -6497,6 +7599,14 @@ (uuid "579d527c-ae7b-4f3d-9753-24c066801a93") ) (segment + (start 181.1 61.4) + (end 181.1 64.9625) + (width 0.6) + (layer "F.Cu") + (net 55) + (uuid "60276d5e-7bee-45f4-af9a-0bb59a497348") + ) + (segment (start 168.91 86.9188) (end 168.91 87.376) (width 0.6) @@ -6521,6 +7631,14 @@ (uuid "72cd65fc-68ff-425e-a2f2-cb110d1d0ef1") ) (segment + (start 179.3 60.9) + (end 180.6 60.9) + (width 0.6) + (layer "F.Cu") + (net 55) + (uuid "7a691fb9-0324-49da-883d-8fd809e56496") + ) + (segment (start 182.7 82.9542) (end 183.9236 82.9542) (width 0.6) @@ -6577,6 +7695,14 @@ (uuid "d107a248-54b1-4516-8e3b-91ce881cc1d0") ) (via + (at 181.5 65.4) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 55) + (uuid "09503449-78b9-4e42-b3bf-fd78a2f09965") + ) + (via (at 168.91 87.376) (size 0.6) (drill 0.3) @@ -6609,6 +7735,14 @@ (uuid "2b60a534-5e97-4120-9b88-10b4ec6c0b01") ) (segment + (start 172.2 74) + (end 170.6 75.6) + (width 0.6) + (layer "B.Cu") + (net 55) + (uuid "5bd05673-f595-4073-b1e5-f802306f540f") + ) + (segment (start 170.4594 85.8266) (end 168.91 87.376) (width 0.6) @@ -6625,6 +7759,38 @@ (uuid "7563026a-ea10-4ddc-8752-767f634fa7f3") ) (segment + (start 181.35 65.4) + (end 179.4 67.35) + (width 0.6) + (layer "B.Cu") + (net 55) + (uuid "7692a38b-4766-4cd0-8d51-7d8e116f143b") + ) + (segment + (start 179.4 67.35) + (end 179.4 72.2) + (width 0.6) + (layer "B.Cu") + (net 55) + (uuid "7b49a2be-f0ff-45fd-af3f-0b36c2e1c732") + ) + (segment + (start 181.5 65.4) + (end 181.35 65.4) + (width 0.6) + (layer "B.Cu") + (net 55) + (uuid "81687a52-58d4-484f-b03c-0a4b12ead87e") + ) + (segment + (start 179.4 72.2) + (end 177.6 74) + (width 0.6) + (layer "B.Cu") + (net 55) + (uuid "842210a0-f5a8-4143-b9f3-c58d209ccf78") + ) + (segment (start 182.2704 85.8266) (end 183.7436 84.3534) (width 0.6) @@ -6633,116 +7799,132 @@ (uuid "b5154131-879f-4228-a045-d318d4d77803") ) (segment - (start 189.85 85.5068) - (end 189.85 88.28) + (start 170.6 75.6) + (end 170.6 78.8788) + (width 0.6) + (layer "B.Cu") + (net 55) + (uuid "c61f8388-bef6-4aa7-b711-7b8854766db8") + ) + (segment + (start 170.6 78.8788) + (end 168.4528 81.026) + (width 0.6) + (layer "B.Cu") + (net 55) + (uuid "d5862ae6-0ec4-4b8e-9fc6-4b90e3075280") + ) + (segment + (start 177.6 74) + (end 172.2 74) + (width 0.6) + (layer "B.Cu") + (net 55) + (uuid "e97236cb-c5da-437c-ac64-88f4de288742") + ) + (segment + (start 167.8432 54.9808) + (end 169.7888 54.9808) (width 0.3) (layer "F.Cu") (net 56) - (uuid "72131e90-b4d8-4ec3-a84e-cc37bdfd201a") + (uuid "45f437a6-2dba-4969-a4cb-288258251c8f") ) (segment - (start 189.0868 84.7436) - (end 189.85 85.5068) + (start 171.45 56.642) + (end 174.371 56.642) (width 0.3) (layer "F.Cu") (net 56) - (uuid "dc06aaf2-af15-476e-a14f-38182a7a662d") + (uuid "5f147211-95d4-4e6e-ad1d-43819031ce72") ) (segment - (start 189.0868 83.576) - (end 189.0868 84.7436) + (start 169.7888 54.9808) + (end 171.45 56.642) (width 0.3) (layer "F.Cu") (net 56) - (uuid "e6ead4d5-a998-4b5d-957d-53a4183cfc64") + (uuid "722d426a-6a7d-44c7-bf26-d8d8e422f6b7") ) (segment - (start 190.3868 84.313499) - (end 192.39 86.316699) + (start 174.371 56.642) + (end 175.641 57.912) (width 0.3) (layer "F.Cu") - (net 57) - (uuid "860719ed-50d9-40fc-8c15-57bf6fcd8a2c") + (net 56) + (uuid "e2281fb8-25a6-4dd4-b4a1-b5f7aca0fd6b") ) (segment - (start 190.3868 83.576) - (end 190.3868 84.313499) + (start 170.6626 57.912) + (end 173.101 57.912) (width 0.3) (layer "F.Cu") (net 57) - (uuid "dea4550a-4008-4934-95f1-ddf2ba6b0a2d") + (uuid "2b8d7e76-5650-474a-bdf2-0d48020ef872") ) (segment - (start 192.39 86.316699) - (end 192.39 88.28) + (start 169.7314 56.9808) + (end 170.6626 57.912) (width 0.3) (layer "F.Cu") (net 57) - (uuid "fa85a486-4e54-4d60-86fe-a8436cf7e229") + (uuid "bbec19fa-6d90-40e9-85e9-dcc6274212e5") ) (segment - (start 188.595 89.565) - (end 188.595 85.277) + (start 167.8432 56.9808) + (end 169.7314 56.9808) (width 0.3) (layer "F.Cu") - (net 58) - (uuid "0041b512-5b3d-4800-83fc-7602713e7e1b") + (net 57) + (uuid "d4096c26-03c9-47f1-9bf0-c9a752bb6ad3") ) (segment - (start 188.595 85.277) - (end 188.438 85.12) + (start 170.2562 60.452) + (end 173.101 60.452) (width 0.3) (layer "F.Cu") (net 58) - (uuid "8db2da57-f909-46c7-92b8-5403c7b171f6") + (uuid "7767ca58-f733-4d38-bdde-7fb0b3c035f6") ) (segment - (start 189.85 90.82) - (end 188.595 89.565) + (start 169.7274 60.9808) + (end 170.2562 60.452) (width 0.3) (layer "F.Cu") (net 58) - (uuid "9d9749a6-1cfb-4c75-9613-2b7c614d7ee5") + (uuid "ca9cf5a2-6dba-405e-9bbb-bb64a6e52c7a") ) (segment - (start 188.438 85.12) - (end 188.438 83.576) + (start 167.8432 60.9808) + (end 169.7274 60.9808) (width 0.3) (layer "F.Cu") (net 58) - (uuid "cc4999c2-c386-48c1-954a-9fe26c24865a") + (uuid "e9311eaf-51b2-45b3-926d-5df97016da6a") ) (segment - (start 191.1096 85.852) - (end 191.1096 89.5096) + (start 167.8432 72.9808) + (end 171.224 69.6) (width 0.3) (layer "F.Cu") (net 59) - (uuid "135d9c37-5e90-4aa3-95a2-079435df183e") + (uuid "958ebc1b-b5fa-4a56-8fce-e7acaa38a37d") ) (segment - (start 189.7368 83.576) - (end 189.7368 84.4792) + (start 171.224 69.6) + (end 174.629 69.6) (width 0.3) (layer "F.Cu") (net 59) - (uuid "1eed31c4-2f50-4c9d-a974-12c0b1bad81d") + (uuid "9dca7f49-954c-4cde-a9f2-9c40062d6bb8") ) (segment - (start 192.3796 90.7796) - (end 191.1096 89.5096) - (width 0.3) - (layer "F.Cu") - (net 59) - (uuid "402ff400-fcc1-4172-9012-0e89c62aa341") - ) - (segment - (start 189.7368 84.4792) - (end 191.1096 85.852) + (start 174.629 69.6) + (end 175.641 70.612) (width 0.3) (layer "F.Cu") (net 59) - (uuid "ae02e393-a7bd-463c-8da7-03282d587e93") + (uuid "b7135b5b-0ae2-48f5-8381-b865cd0f8d94") ) (zone (net 0) @@ -6962,53 +8144,77 @@ (xy 185.384991 56.514974) (xy 185.589787 56.569849) (xy 185.758757 56.584632) (xy 185.800999 56.588328) (xy 185.800999 56.588327) (xy 185.801 56.588328) (xy 185.904044 56.579312) (xy 185.972542 56.593078) (xy 186.022725 56.641693) (xy 186.038659 56.709721) (xy 186.015284 56.775565) (xy 186.002531 56.790521) - (xy 184.025722 58.767331) (xy 184.02572 58.767333) (xy 183.995545 58.812497) (xy 183.995543 58.8125) - (xy 183.954538 58.873867) (xy 183.954533 58.873875) (xy 183.905499 58.992255) (xy 183.905497 58.992261) - (xy 183.8805 59.117928) (xy 183.8805 66.829274) (xy 183.860815 66.896313) (xy 183.808011 66.942068) - (xy 183.738853 66.952012) (xy 183.704097 66.941657) (xy 183.677011 66.929027) (xy 183.677001 66.929023) - (xy 183.472218 66.874152) (xy 183.472208 66.87415) (xy 183.261001 66.855672) (xy 183.260999 66.855672) - (xy 183.049791 66.87415) (xy 183.049781 66.874152) (xy 182.844998 66.929023) (xy 182.844989 66.929027) - (xy 182.652835 67.018629) (xy 182.652831 67.018631) (xy 182.479163 67.140235) (xy 182.479157 67.14024) - (xy 182.455717 67.163681) (xy 182.394394 67.197166) (xy 182.368036 67.2) (xy 181.613964 67.2) (xy 181.546925 67.180315) - (xy 181.526283 67.163681) (xy 181.502842 67.14024) (xy 181.502836 67.140235) (xy 181.329168 67.018631) - (xy 181.329164 67.018629) (xy 181.186594 66.952148) (xy 181.137009 66.929026) (xy 181.137005 66.929025) - (xy 181.137001 66.929023) (xy 180.932218 66.874152) (xy 180.932208 66.87415) (xy 180.721001 66.855672) - (xy 180.720999 66.855672) (xy 180.509791 66.87415) (xy 180.509781 66.874152) (xy 180.304998 66.929023) - (xy 180.304989 66.929027) (xy 180.112835 67.018629) (xy 180.112831 67.018631) (xy 179.939163 67.140235) - (xy 179.939157 67.14024) (xy 179.915717 67.163681) (xy 179.854394 67.197166) (xy 179.828036 67.2) - (xy 179.073964 67.2) (xy 179.006925 67.180315) (xy 178.986283 67.163681) (xy 178.962842 67.14024) - (xy 178.962836 67.140235) (xy 178.789168 67.018631) (xy 178.789164 67.018629) (xy 178.646594 66.952148) - (xy 178.597009 66.929026) (xy 178.597005 66.929025) (xy 178.597001 66.929023) (xy 178.392218 66.874152) - (xy 178.392208 66.87415) (xy 178.181001 66.855672) (xy 178.180999 66.855672) (xy 177.969791 66.87415) - (xy 177.969781 66.874152) (xy 177.764994 66.929024) (xy 177.763293 66.929818) (xy 177.762472 66.929942) - (xy 177.759914 66.930874) (xy 177.759726 66.930359) (xy 177.694214 66.940302) (xy 177.630433 66.911776) - (xy 177.5922 66.853295) (xy 177.5869 66.81743) (xy 177.5869 56.626019) (xy 177.606585 56.55898) - (xy 177.659389 56.513225) (xy 177.728547 56.503281) (xy 177.760074 56.512675) (xy 177.760082 56.512654) - (xy 177.760442 56.512785) (xy 177.763311 56.51364) (xy 177.765162 56.514503) (xy 177.76517 56.514506) - (xy 177.969868 56.569354) (xy 177.969879 56.569356) (xy 178.180998 56.587827) (xy 178.181002 56.587827) - (xy 178.39212 56.569356) (xy 178.392131 56.569354) (xy 178.596829 56.514506) (xy 178.596838 56.514502) - (xy 178.788913 56.424937) (xy 178.788917 56.424935) (xy 178.842713 56.387266) (xy 178.844819 56.363194) - (xy 178.870271 56.298125) (xy 178.926861 56.257146) (xy 178.968347 56.25) (xy 179.451737 56.25) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 187.053493 57.681965) (xy 187.109426 57.723837) (xy 187.133843 57.789301) (xy 187.133687 57.808954) - (xy 187.124672 57.911997) (xy 187.124672 57.912) (xy 187.14315 58.123208) (xy 187.143152 58.123218) - (xy 187.198023 58.328001) (xy 187.198025 58.328005) (xy 187.198026 58.328009) (xy 187.287629 58.520164) - (xy 187.377575 58.64862) (xy 187.399902 58.714825) (xy 187.4 58.719743) (xy 187.4 59.644256) (xy 187.380315 59.711295) - (xy 187.377575 59.715379) (xy 187.287629 59.843835) (xy 187.287628 59.843837) (xy 187.198027 60.035989) - (xy 187.198023 60.035998) (xy 187.143152 60.240781) (xy 187.14315 60.240791) (xy 187.124672 60.451999) - (xy 187.124672 60.452002) (xy 187.143476 60.666936) (xy 187.129709 60.735436) (xy 187.107629 60.765424) - (xy 186.565727 61.307325) (xy 186.565726 61.307326) (xy 186.494534 61.413874) (xy 186.445499 61.532255) - (xy 186.445497 61.532261) (xy 186.4205 61.657928) (xy 186.4205 66.829274) (xy 186.400815 66.896313) - (xy 186.348011 66.942068) (xy 186.278853 66.952012) (xy 186.244097 66.941657) (xy 186.217011 66.929027) - (xy 186.217001 66.929023) (xy 186.012218 66.874152) (xy 186.012208 66.87415) (xy 185.801001 66.855672) - (xy 185.800999 66.855672) (xy 185.589791 66.87415) (xy 185.589781 66.874152) (xy 185.384998 66.929023) - (xy 185.384988 66.929027) (xy 185.357903 66.941657) (xy 185.288825 66.952148) (xy 185.225042 66.923627) - (xy 185.186803 66.86515) (xy 185.1815 66.829274) (xy 185.1815 59.502807) (xy 185.201185 59.435768) - (xy 185.217814 59.415131) (xy 186.92248 57.710464) (xy 186.983801 57.676981) + (xy 185.166873 57.626181) (xy 185.10555 57.659666) (xy 185.079192 57.6625) (xy 184.635929 57.6625) + (xy 184.510261 57.687497) (xy 184.510255 57.687499) (xy 184.391875 57.736533) (xy 184.391866 57.736538) + (xy 184.285331 57.807723) (xy 184.285327 57.807726) (xy 183.594727 58.498325) (xy 183.594726 58.498326) + (xy 183.523534 58.604874) (xy 183.474499 58.723255) (xy 183.474497 58.723261) (xy 183.4495 58.848928) + (xy 183.4495 58.848931) (xy 183.4495 63.164069) (xy 183.4495 63.164071) (xy 183.449499 63.164071) + (xy 183.472329 63.27884) (xy 183.474497 63.289741) (xy 183.474498 63.289743) (xy 183.523533 63.408125) + (xy 183.594726 63.514673) (xy 183.985326 63.905273) (xy 183.985329 63.905275) (xy 183.985331 63.905277) + (xy 184.091873 63.976465) (xy 184.210256 64.025501) (xy 184.21026 64.025501) (xy 184.210261 64.025502) + (xy 184.335928 64.0505) (xy 184.335931 64.0505) (xy 185.179192 64.0505) (xy 185.246231 64.070185) + (xy 185.266873 64.086819) (xy 185.813181 64.633127) (xy 185.846666 64.69445) (xy 185.8495 64.720808) + (xy 185.8495 65.579192) (xy 185.829815 65.646231) (xy 185.813181 65.666873) (xy 185.651373 65.828681) + (xy 185.59005 65.862166) (xy 185.563692 65.865) (xy 185.351429 65.865) (xy 185.225761 65.889997) + (xy 185.225755 65.889999) (xy 185.107374 65.939034) (xy 185.000826 66.010226) (xy 185.000825 66.010227) + (xy 184.025719 66.985334) (xy 184.023578 66.987943) (xy 184.022114 66.988939) (xy 184.021415 66.989639) + (xy 184.021282 66.989506) (xy 183.965826 67.027268) (xy 183.895981 67.029128) (xy 183.874392 67.020225) + (xy 183.87407 67.020917) (xy 183.869165 67.018629) (xy 183.869164 67.018629) (xy 183.677009 66.929026) + (xy 183.677005 66.929025) (xy 183.677001 66.929023) (xy 183.472218 66.874152) (xy 183.472208 66.87415) + (xy 183.261001 66.855672) (xy 183.260999 66.855672) (xy 183.049791 66.87415) (xy 183.049781 66.874152) + (xy 182.844998 66.929023) (xy 182.844989 66.929027) (xy 182.652835 67.018629) (xy 182.652831 67.018631) + (xy 182.479163 67.140235) (xy 182.479157 67.14024) (xy 182.455717 67.163681) (xy 182.394394 67.197166) + (xy 182.368036 67.2) (xy 181.613964 67.2) (xy 181.546925 67.180315) (xy 181.526283 67.163681) (xy 181.502842 67.14024) + (xy 181.502836 67.140235) (xy 181.329168 67.018631) (xy 181.329164 67.018629) (xy 181.315098 67.01207) + (xy 181.137009 66.929026) (xy 181.137005 66.929025) (xy 181.137001 66.929023) (xy 180.932218 66.874152) + (xy 180.932208 66.87415) (xy 180.721001 66.855672) (xy 180.720996 66.855672) (xy 180.701128 66.85741) + (xy 180.632628 66.843643) (xy 180.602641 66.821563) (xy 180.338783 66.557705) (xy 180.305298 66.496382) + (xy 180.310282 66.42669) (xy 180.352154 66.370757) (xy 180.38746 66.352318) (xy 180.5138 66.310453) + (xy 180.513807 66.31045) (xy 180.653175 66.224485) (xy 180.720567 66.206044) (xy 180.783367 66.224483) + (xy 180.923484 66.310908) (xy 181.087247 66.365174) (xy 181.188323 66.3755) (xy 181.886676 66.375499) + (xy 181.886684 66.375498) (xy 181.886687 66.375498) (xy 181.94203 66.369844) (xy 181.987753 66.365174) + (xy 182.151516 66.310908) (xy 182.29835 66.22034) (xy 182.312671 66.206018) (xy 182.373989 66.172533) + (xy 182.443681 66.177514) (xy 182.488034 66.206017) (xy 182.501961 66.219944) (xy 182.501965 66.219947) + (xy 182.648688 66.310448) (xy 182.648699 66.310453) (xy 182.812347 66.36468) (xy 182.913351 66.374999) + (xy 183.0125 66.374998) (xy 183.0125 65.65) (xy 183.5125 65.65) (xy 183.5125 66.374999) (xy 183.61164 66.374999) + (xy 183.611654 66.374998) (xy 183.712652 66.36468) (xy 183.8763 66.310453) (xy 183.876311 66.310448) + (xy 184.023034 66.219947) (xy 184.023038 66.219944) (xy 184.144944 66.098038) (xy 184.144947 66.098034) + (xy 184.235448 65.951311) (xy 184.235453 65.9513) (xy 184.28968 65.787652) (xy 184.299999 65.686654) + (xy 184.3 65.686641) (xy 184.3 65.65) (xy 183.5125 65.65) (xy 183.0125 65.65) (xy 183.0125 64.425) + (xy 183.5125 64.425) (xy 183.5125 65.15) (xy 184.299999 65.15) (xy 184.299999 65.11336) (xy 184.299998 65.113345) + (xy 184.28968 65.012347) (xy 184.235453 64.848699) (xy 184.235448 64.848688) (xy 184.144947 64.701965) + (xy 184.144944 64.701961) (xy 184.023038 64.580055) (xy 184.023034 64.580052) (xy 183.876311 64.489551) + (xy 183.8763 64.489546) (xy 183.712652 64.435319) (xy 183.611654 64.425) (xy 183.5125 64.425) (xy 183.0125 64.425) + (xy 183.0125 64.424999) (xy 182.91336 64.425) (xy 182.913344 64.425001) (xy 182.812347 64.435319) + (xy 182.648699 64.489546) (xy 182.648688 64.489551) (xy 182.501965 64.580052) (xy 182.488032 64.593985) + (xy 182.426708 64.627468) (xy 182.357016 64.622482) (xy 182.312672 64.593982) (xy 182.298351 64.579661) + (xy 182.29835 64.57966) (xy 182.207129 64.523395) (xy 182.151518 64.489093) (xy 182.151513 64.489091) + (xy 181.985496 64.434078) (xy 181.928051 64.394305) (xy 181.901228 64.329789) (xy 181.9005 64.316372) + (xy 181.9005 61.321157) (xy 181.900499 61.321156) (xy 181.89215 61.279179) (xy 181.89215 61.279175) + (xy 181.869739 61.16651) (xy 181.869738 61.166503) (xy 181.809394 61.020821) (xy 181.809392 61.020818) + (xy 181.80939 61.020814) (xy 181.721789 60.889711) (xy 181.721786 60.889707) (xy 181.110292 60.278213) + (xy 181.110288 60.27821) (xy 180.979185 60.190609) (xy 180.979172 60.190602) (xy 180.833501 60.130264) + (xy 180.833491 60.130261) (xy 180.75239 60.114129) (xy 180.690479 60.081744) (xy 180.671509 60.055825) + (xy 180.670654 60.056373) (xy 180.66703 60.050704) (xy 180.547724 59.90228) (xy 180.547722 59.902278) + (xy 180.478112 59.846324) (xy 180.438196 59.788985) (xy 180.435616 59.719163) (xy 180.471194 59.65903) + (xy 180.478115 59.653033) (xy 180.547366 59.597367) (xy 180.547367 59.597366) (xy 180.666607 59.449025) + (xy 180.666609 59.449022) (xy 180.751168 59.278523) (xy 180.797102 59.093824) (xy 180.8 59.051096) + (xy 180.8 58.85) (xy 179.174 58.85) (xy 179.106961 58.830315) (xy 179.061206 58.777511) (xy 179.05 58.726) + (xy 179.05 57.35) (xy 179.55 57.35) (xy 179.55 58.35) (xy 180.8 58.35) (xy 180.8 58.148903) (xy 180.797102 58.106175) + (xy 180.751168 57.921476) (xy 180.666609 57.750977) (xy 180.666607 57.750974) (xy 180.547367 57.602633) + (xy 180.547366 57.602632) (xy 180.399025 57.483392) (xy 180.399022 57.48339) (xy 180.228523 57.398831) + (xy 180.043824 57.352897) (xy 180.001097 57.35) (xy 179.55 57.35) (xy 179.05 57.35) (xy 178.598903 57.35) + (xy 178.556175 57.352897) (xy 178.371476 57.398831) (xy 178.200977 57.48339) (xy 178.200974 57.483392) + (xy 178.052633 57.602632) (xy 178.052632 57.602633) (xy 177.933392 57.750974) (xy 177.93339 57.750977) + (xy 177.848831 57.921476) (xy 177.848828 57.921484) (xy 177.831234 57.992231) (xy 177.795952 58.052538) + (xy 177.733666 58.084196) (xy 177.664152 58.077155) (xy 177.609481 58.033649) (xy 177.587009 57.967492) + (xy 177.5869 57.962304) (xy 177.5869 56.626019) (xy 177.606585 56.55898) (xy 177.659389 56.513225) + (xy 177.728547 56.503281) (xy 177.760074 56.512675) (xy 177.760082 56.512654) (xy 177.760442 56.512785) + (xy 177.763311 56.51364) (xy 177.765162 56.514503) (xy 177.76517 56.514506) (xy 177.969868 56.569354) + (xy 177.969879 56.569356) (xy 178.180998 56.587827) (xy 178.181002 56.587827) (xy 178.39212 56.569356) + (xy 178.392131 56.569354) (xy 178.596829 56.514506) (xy 178.596838 56.514502) (xy 178.788913 56.424937) + (xy 178.788917 56.424935) (xy 178.842713 56.387266) (xy 178.844819 56.363194) (xy 178.870271 56.298125) + (xy 178.926861 56.257146) (xy 178.968347 56.25) (xy 179.451737 56.25) ) ) (filled_polygon @@ -7481,80 +8687,79 @@ (xy 168.554632 75.922888) (xy 168.715627 75.776122) (xy 168.846912 75.602272) (xy 168.944017 75.407259) (xy 169.003635 75.197723) (xy 169.023736 74.9808) (xy 169.003635 74.763877) (xy 168.944017 74.554341) (xy 168.846912 74.359328) (xy 168.715627 74.185478) (xy 168.591623 74.072434) (xy 168.555345 74.012727) - (xy 168.557105 73.942879) (xy 168.591623 73.889165) (xy 168.715627 73.776122) (xy 168.787781 73.680573) - (xy 168.84389 73.638937) (xy 168.886735 73.6313) (xy 170.389871 73.6313) (xy 170.474415 73.614482) - (xy 170.515544 73.606301) (xy 170.633927 73.557265) (xy 170.656026 73.542499) (xy 170.740469 73.486077) - (xy 172.590227 71.636319) (xy 172.65155 71.602834) (xy 172.677908 71.6) (xy 173.552212 71.6) (xy 173.619251 71.619685) - (xy 173.665006 71.672489) (xy 173.67495 71.741647) (xy 173.669254 71.764954) (xy 173.611032 71.931342) - (xy 173.61103 71.93135) (xy 173.590835 72.110596) (xy 173.590835 72.110603) (xy 173.61103 72.289849) - (xy 173.611031 72.289854) (xy 173.670611 72.460124) (xy 173.726893 72.549694) (xy 173.7459 72.615667) - (xy 173.7459 74.003471) (xy 173.748934 74.018721) (xy 173.767314 74.111122) (xy 173.770899 74.129144) - (xy 173.819935 74.247527) (xy 173.880764 74.338565) (xy 173.891126 74.354073) (xy 173.891127 74.354074) - (xy 176.018373 76.481319) (xy 176.051858 76.542642) (xy 176.046874 76.612334) (xy 176.005002 76.668267) - (xy 175.939538 76.692684) (xy 175.898601 76.688776) (xy 175.893628 76.687443) (xy 175.837 76.679987) - (xy 175.837 76.722499) (xy 175.817315 76.789538) (xy 175.788489 76.820873) (xy 175.758719 76.843716) - (xy 175.707352 76.91066) (xy 175.698182 76.922611) (xy 175.659376 76.973184) (xy 175.602948 77.014386) - (xy 175.533202 77.018541) (xy 175.472281 76.984329) (xy 175.439529 76.922611) (xy 175.437 76.897697) - (xy 175.437 76.679987) (xy 175.38037 76.687443) (xy 175.359449 76.696109) (xy 175.28998 76.703575) - (xy 175.264551 76.696109) (xy 175.243629 76.687443) (xy 175.187 76.679987) (xy 175.187 77.7095) - (xy 175.4625 77.7095) (xy 175.529539 77.729185) (xy 175.575294 77.781989) (xy 175.5865 77.8335) - (xy 175.5865 77.9855) (xy 175.566815 78.052539) (xy 175.514011 78.098294) (xy 175.4625 78.1095) - (xy 174.287001 78.1095) (xy 174.287001 78.3719) (xy 174.267316 78.438939) (xy 174.214512 78.484694) - (xy 174.163001 78.4959) (xy 173.571321 78.4959) (xy 173.504282 78.476215) (xy 173.469746 78.443023) - (xy 173.466886 78.438939) (xy 173.352095 78.274999) (xy 173.352094 78.274997) (xy 173.185002 78.107906) - (xy 173.185001 78.107905) (xy 172.999005 77.977669) (xy 172.955381 77.923092) (xy 172.948188 77.853593) - (xy 172.97971 77.791239) (xy 172.999005 77.774519) (xy 173.091861 77.7095) (xy 174.287 77.7095) - (xy 174.787 77.7095) (xy 174.787 76.679987) (xy 174.730368 76.687444) (xy 174.584413 76.747899) - (xy 174.459075 76.844075) (xy 174.362899 76.969413) (xy 174.302445 77.115365) (xy 174.302444 77.115369) - (xy 174.287 77.232669) (xy 174.287 77.7095) (xy 173.091861 77.7095) (xy 173.184682 77.644505) (xy 173.351705 77.477482) - (xy 173.4872 77.283978) (xy 173.587029 77.069892) (xy 173.587032 77.069886) (xy 173.644236 76.8564) - (xy 172.746612 76.8564) (xy 172.779525 76.799393) (xy 172.8136 76.672226) (xy 172.8136 76.540574) - (xy 172.779525 76.413407) (xy 172.746612 76.3564) (xy 173.644236 76.3564) (xy 173.644235 76.356399) - (xy 173.587032 76.142913) (xy 173.587029 76.142907) (xy 173.4872 75.928822) (xy 173.487199 75.92882) - (xy 173.351713 75.735326) (xy 173.351708 75.73532) (xy 173.184682 75.568294) (xy 172.991178 75.432799) - (xy 172.777092 75.33297) (xy 172.777086 75.332967) (xy 172.5636 75.275764) (xy 172.5636 76.173388) - (xy 172.506593 76.140475) (xy 172.379426 76.1064) (xy 172.247774 76.1064) (xy 172.120607 76.140475) - (xy 172.0636 76.173388) (xy 172.0636 75.275764) (xy 172.063599 75.275764) (xy 171.850113 75.332967) - (xy 171.850107 75.33297) (xy 171.636022 75.432799) (xy 171.63602 75.4328) (xy 171.442526 75.568286) - (xy 171.44252 75.568291) (xy 171.275491 75.73532) (xy 171.275486 75.735326) (xy 171.14 75.92882) - (xy 171.139999 75.928822) (xy 171.04017 76.142907) (xy 171.040167 76.142913) (xy 170.982964 76.356399) - (xy 170.982964 76.3564) (xy 171.880588 76.3564) (xy 171.847675 76.413407) (xy 171.8136 76.540574) - (xy 171.8136 76.672226) (xy 171.847675 76.799393) (xy 171.880588 76.8564) (xy 170.982964 76.8564) - (xy 171.040167 77.069886) (xy 171.04017 77.069892) (xy 171.139999 77.283978) (xy 171.275494 77.477482) - (xy 171.442517 77.644505) (xy 171.628195 77.774519) (xy 171.671819 77.829096) (xy 171.679012 77.898595) - (xy 171.64749 77.960949) (xy 171.628195 77.977669) (xy 171.442194 78.107908) (xy 171.275105 78.274997) - (xy 171.139565 78.468569) (xy 171.139564 78.468571) (xy 171.039698 78.682735) (xy 171.039694 78.682744) - (xy 170.978538 78.910986) (xy 170.978536 78.910996) (xy 170.957941 79.146399) (xy 170.957941 79.1464) - (xy 170.978536 79.381803) (xy 170.978538 79.381813) (xy 171.039694 79.610055) (xy 171.039696 79.610059) - (xy 171.039697 79.610063) (xy 171.118846 79.779798) (xy 171.139565 79.82423) (xy 171.139567 79.824234) - (xy 171.193915 79.90185) (xy 171.253891 79.987505) (xy 171.275101 80.017795) (xy 171.275106 80.017802) - (xy 171.39703 80.139726) (xy 171.430515 80.201049) (xy 171.425531 80.270741) (xy 171.383659 80.326674) - (xy 171.352683 80.343589) (xy 171.221269 80.392603) (xy 171.221264 80.392606) (xy 171.106055 80.478852) - (xy 171.106052 80.478855) (xy 171.019806 80.594064) (xy 171.019802 80.594071) (xy 170.969508 80.728917) - (xy 170.963101 80.788516) (xy 170.9631 80.788535) (xy 170.9631 81.487233) (xy 170.943415 81.554272) - (xy 170.890611 81.600027) (xy 170.821453 81.609971) (xy 170.757897 81.580946) (xy 170.720123 81.522168) - (xy 170.717484 81.511434) (xy 170.695738 81.402103) (xy 170.635394 81.256421) (xy 170.635392 81.256418) - (xy 170.63539 81.256414) (xy 170.547789 81.125311) (xy 170.547786 81.125307) (xy 169.826692 80.404213) - (xy 169.826688 80.40421) (xy 169.695585 80.316609) (xy 169.695576 80.316604) (xy 169.601012 80.277435) - (xy 169.54689 80.233997) (xy 169.491294 80.154597) (xy 169.324202 79.987506) (xy 169.324196 79.987501) - (xy 169.138642 79.857575) (xy 169.095017 79.802998) (xy 169.087823 79.7335) (xy 169.119346 79.671145) - (xy 169.138642 79.654425) (xy 169.202009 79.610055) (xy 169.324201 79.524495) (xy 169.491295 79.357401) - (xy 169.626835 79.16383) (xy 169.726703 78.949663) (xy 169.787863 78.721408) (xy 169.808459 78.486) - (xy 169.787863 78.250592) (xy 169.726703 78.022337) (xy 169.626835 77.808171) (xy 169.61498 77.791239) - (xy 169.491294 77.614597) (xy 169.324202 77.447506) (xy 169.324195 77.447501) (xy 169.130634 77.311967) - (xy 169.13063 77.311965) (xy 169.070612 77.283978) (xy 168.916463 77.212097) (xy 168.916459 77.212096) - (xy 168.916455 77.212094) (xy 168.688213 77.150938) (xy 168.688203 77.150936) (xy 168.452801 77.130341) - (xy 168.452799 77.130341) (xy 168.217396 77.150936) (xy 168.217386 77.150938) (xy 167.989144 77.212094) - (xy 167.989135 77.212098) (xy 167.774971 77.311964) (xy 167.774969 77.311965) (xy 167.5814 77.447503) - (xy 167.459473 77.56943) (xy 167.39815 77.602914) (xy 167.328458 77.59793) (xy 167.272525 77.556058) - (xy 167.25561 77.525081) (xy 167.206597 77.393671) (xy 167.206593 77.393664) (xy 167.120347 77.278455) - (xy 167.120344 77.278452) (xy 167.005135 77.192206) (xy 167.005128 77.192202) (xy 166.870282 77.141908) - (xy 166.870283 77.141908) (xy 166.810683 77.135501) (xy 166.810681 77.1355) (xy 166.810673 77.1355) - (xy 166.810664 77.1355) (xy 165.014929 77.1355) (xy 165.014923 77.135501) (xy 164.955316 77.141908) - (xy 164.820471 77.192202) (xy 164.820464 77.192206) (xy 164.705255 77.278452) (xy 164.705252 77.278455) - (xy 164.619006 77.393664) (xy 164.619002 77.393671) (xy 164.568708 77.528517) (xy 164.562301 77.588116) - (xy 164.5623 77.588135) (xy 164.5623 79.38387) (xy 164.0765 79.38387) (xy 164.0765 49.6465) (xy 164.096185 49.579461) - (xy 164.148989 49.533706) (xy 164.2005 49.5225) (xy 194.1935 49.5225) + (xy 168.557105 73.942879) (xy 168.591623 73.889165) (xy 168.715627 73.776122) (xy 168.846912 73.602272) + (xy 168.944017 73.407259) (xy 169.003635 73.197723) (xy 169.023736 72.9808) (xy 169.007007 72.800268) + (xy 169.020422 72.7317) (xy 169.042794 72.70115) (xy 171.457126 70.286819) (xy 171.518449 70.253334) + (xy 171.544807 70.2505) (xy 172.076 70.2505) (xy 172.143039 70.270185) (xy 172.188794 70.322989) + (xy 172.2 70.3745) (xy 172.2 71.6) (xy 173.525092 71.6) (xy 173.592131 71.619685) (xy 173.612773 71.636319) + (xy 173.709581 71.733127) (xy 173.743066 71.79445) (xy 173.7459 71.820808) (xy 173.7459 74.003471) + (xy 173.748934 74.018721) (xy 173.767314 74.111122) (xy 173.770899 74.129144) (xy 173.819935 74.247527) + (xy 173.880764 74.338565) (xy 173.891126 74.354073) (xy 173.891127 74.354074) (xy 176.018373 76.481319) + (xy 176.051858 76.542642) (xy 176.046874 76.612334) (xy 176.005002 76.668267) (xy 175.939538 76.692684) + (xy 175.898601 76.688776) (xy 175.893628 76.687443) (xy 175.837 76.679987) (xy 175.837 76.722499) + (xy 175.817315 76.789538) (xy 175.788489 76.820873) (xy 175.758719 76.843716) (xy 175.707352 76.91066) + (xy 175.698182 76.922611) (xy 175.659376 76.973184) (xy 175.602948 77.014386) (xy 175.533202 77.018541) + (xy 175.472281 76.984329) (xy 175.439529 76.922611) (xy 175.437 76.897697) (xy 175.437 76.679987) + (xy 175.38037 76.687443) (xy 175.359449 76.696109) (xy 175.28998 76.703575) (xy 175.264551 76.696109) + (xy 175.243629 76.687443) (xy 175.187 76.679987) (xy 175.187 77.7095) (xy 175.4625 77.7095) (xy 175.529539 77.729185) + (xy 175.575294 77.781989) (xy 175.5865 77.8335) (xy 175.5865 77.9855) (xy 175.566815 78.052539) + (xy 175.514011 78.098294) (xy 175.4625 78.1095) (xy 174.287001 78.1095) (xy 174.287001 78.3719) + (xy 174.267316 78.438939) (xy 174.214512 78.484694) (xy 174.163001 78.4959) (xy 173.571321 78.4959) + (xy 173.504282 78.476215) (xy 173.469746 78.443023) (xy 173.466886 78.438939) (xy 173.352095 78.274999) + (xy 173.352094 78.274997) (xy 173.185002 78.107906) (xy 173.185001 78.107905) (xy 172.999005 77.977669) + (xy 172.955381 77.923092) (xy 172.948188 77.853593) (xy 172.97971 77.791239) (xy 172.999005 77.774519) + (xy 173.091861 77.7095) (xy 174.287 77.7095) (xy 174.787 77.7095) (xy 174.787 76.679987) (xy 174.730368 76.687444) + (xy 174.584413 76.747899) (xy 174.459075 76.844075) (xy 174.362899 76.969413) (xy 174.302445 77.115365) + (xy 174.302444 77.115369) (xy 174.287 77.232669) (xy 174.287 77.7095) (xy 173.091861 77.7095) (xy 173.184682 77.644505) + (xy 173.351705 77.477482) (xy 173.4872 77.283978) (xy 173.587029 77.069892) (xy 173.587032 77.069886) + (xy 173.644236 76.8564) (xy 172.746612 76.8564) (xy 172.779525 76.799393) (xy 172.8136 76.672226) + (xy 172.8136 76.540574) (xy 172.779525 76.413407) (xy 172.746612 76.3564) (xy 173.644236 76.3564) + (xy 173.644235 76.356399) (xy 173.587032 76.142913) (xy 173.587029 76.142907) (xy 173.4872 75.928822) + (xy 173.487199 75.92882) (xy 173.351713 75.735326) (xy 173.351708 75.73532) (xy 173.184682 75.568294) + (xy 172.991178 75.432799) (xy 172.777092 75.33297) (xy 172.777086 75.332967) (xy 172.5636 75.275764) + (xy 172.5636 76.173388) (xy 172.506593 76.140475) (xy 172.379426 76.1064) (xy 172.247774 76.1064) + (xy 172.120607 76.140475) (xy 172.0636 76.173388) (xy 172.0636 75.275764) (xy 172.063599 75.275764) + (xy 171.850113 75.332967) (xy 171.850107 75.33297) (xy 171.636022 75.432799) (xy 171.63602 75.4328) + (xy 171.442526 75.568286) (xy 171.44252 75.568291) (xy 171.275491 75.73532) (xy 171.275486 75.735326) + (xy 171.14 75.92882) (xy 171.139999 75.928822) (xy 171.04017 76.142907) (xy 171.040167 76.142913) + (xy 170.982964 76.356399) (xy 170.982964 76.3564) (xy 171.880588 76.3564) (xy 171.847675 76.413407) + (xy 171.8136 76.540574) (xy 171.8136 76.672226) (xy 171.847675 76.799393) (xy 171.880588 76.8564) + (xy 170.982964 76.8564) (xy 171.040167 77.069886) (xy 171.04017 77.069892) (xy 171.139999 77.283978) + (xy 171.275494 77.477482) (xy 171.442517 77.644505) (xy 171.628195 77.774519) (xy 171.671819 77.829096) + (xy 171.679012 77.898595) (xy 171.64749 77.960949) (xy 171.628195 77.977669) (xy 171.442194 78.107908) + (xy 171.275105 78.274997) (xy 171.139565 78.468569) (xy 171.139564 78.468571) (xy 171.039698 78.682735) + (xy 171.039694 78.682744) (xy 170.978538 78.910986) (xy 170.978536 78.910996) (xy 170.957941 79.146399) + (xy 170.957941 79.1464) (xy 170.978536 79.381803) (xy 170.978538 79.381813) (xy 171.039694 79.610055) + (xy 171.039696 79.610059) (xy 171.039697 79.610063) (xy 171.118846 79.779798) (xy 171.139565 79.82423) + (xy 171.139567 79.824234) (xy 171.193915 79.90185) (xy 171.253891 79.987505) (xy 171.275101 80.017795) + (xy 171.275106 80.017802) (xy 171.39703 80.139726) (xy 171.430515 80.201049) (xy 171.425531 80.270741) + (xy 171.383659 80.326674) (xy 171.352683 80.343589) (xy 171.221269 80.392603) (xy 171.221264 80.392606) + (xy 171.106055 80.478852) (xy 171.106052 80.478855) (xy 171.019806 80.594064) (xy 171.019802 80.594071) + (xy 170.969508 80.728917) (xy 170.963101 80.788516) (xy 170.9631 80.788535) (xy 170.9631 81.487233) + (xy 170.943415 81.554272) (xy 170.890611 81.600027) (xy 170.821453 81.609971) (xy 170.757897 81.580946) + (xy 170.720123 81.522168) (xy 170.717484 81.511434) (xy 170.695738 81.402103) (xy 170.635394 81.256421) + (xy 170.635392 81.256418) (xy 170.63539 81.256414) (xy 170.547789 81.125311) (xy 170.547786 81.125307) + (xy 169.826692 80.404213) (xy 169.826688 80.40421) (xy 169.695585 80.316609) (xy 169.695576 80.316604) + (xy 169.601012 80.277435) (xy 169.54689 80.233997) (xy 169.491294 80.154597) (xy 169.324202 79.987506) + (xy 169.324196 79.987501) (xy 169.138642 79.857575) (xy 169.095017 79.802998) (xy 169.087823 79.7335) + (xy 169.119346 79.671145) (xy 169.138642 79.654425) (xy 169.202009 79.610055) (xy 169.324201 79.524495) + (xy 169.491295 79.357401) (xy 169.626835 79.16383) (xy 169.726703 78.949663) (xy 169.787863 78.721408) + (xy 169.808459 78.486) (xy 169.787863 78.250592) (xy 169.726703 78.022337) (xy 169.626835 77.808171) + (xy 169.61498 77.791239) (xy 169.491294 77.614597) (xy 169.324202 77.447506) (xy 169.324195 77.447501) + (xy 169.130634 77.311967) (xy 169.13063 77.311965) (xy 169.070612 77.283978) (xy 168.916463 77.212097) + (xy 168.916459 77.212096) (xy 168.916455 77.212094) (xy 168.688213 77.150938) (xy 168.688203 77.150936) + (xy 168.452801 77.130341) (xy 168.452799 77.130341) (xy 168.217396 77.150936) (xy 168.217386 77.150938) + (xy 167.989144 77.212094) (xy 167.989135 77.212098) (xy 167.774971 77.311964) (xy 167.774969 77.311965) + (xy 167.5814 77.447503) (xy 167.459473 77.56943) (xy 167.39815 77.602914) (xy 167.328458 77.59793) + (xy 167.272525 77.556058) (xy 167.25561 77.525081) (xy 167.206597 77.393671) (xy 167.206593 77.393664) + (xy 167.120347 77.278455) (xy 167.120344 77.278452) (xy 167.005135 77.192206) (xy 167.005128 77.192202) + (xy 166.870282 77.141908) (xy 166.870283 77.141908) (xy 166.810683 77.135501) (xy 166.810681 77.1355) + (xy 166.810673 77.1355) (xy 166.810664 77.1355) (xy 165.014929 77.1355) (xy 165.014923 77.135501) + (xy 164.955316 77.141908) (xy 164.820471 77.192202) (xy 164.820464 77.192206) (xy 164.705255 77.278452) + (xy 164.705252 77.278455) (xy 164.619006 77.393664) (xy 164.619002 77.393671) (xy 164.568708 77.528517) + (xy 164.562301 77.588116) (xy 164.5623 77.588135) (xy 164.5623 79.38387) (xy 164.0765 79.38387) + (xy 164.0765 49.6465) (xy 164.096185 49.579461) (xy 164.148989 49.533706) (xy 164.2005 49.5225) + (xy 194.1935 49.5225) ) ) (filled_polygon @@ -7604,16 +8809,16 @@ (xy 172.047631 57.303831) (xy 172.047629 57.303835) (xy 171.958027 57.495989) (xy 171.958023 57.495998) (xy 171.903152 57.700781) (xy 171.90315 57.700791) (xy 171.884672 57.911999) (xy 171.884672 57.912) (xy 171.90315 58.123208) (xy 171.903152 58.123218) (xy 171.958023 58.328001) (xy 171.958025 58.328005) - (xy 171.958026 58.328009) (xy 171.987807 58.391874) (xy 172.047629 58.520164) (xy 172.047631 58.520168) - (xy 172.169231 58.693831) (xy 172.170983 58.695918) (xy 172.171466 58.697023) (xy 172.172344 58.698276) - (xy 172.172092 58.698452) (xy 172.199001 58.759924) (xy 172.2 58.775632) (xy 172.2 59.588367) (xy 172.180315 59.655406) - (xy 172.170989 59.668073) (xy 172.169238 59.670159) (xy 172.047631 59.843831) (xy 172.047629 59.843835) - (xy 171.958027 60.035989) (xy 171.958023 60.035998) (xy 171.903152 60.240781) (xy 171.90315 60.240791) - (xy 171.884672 60.451999) (xy 171.884672 60.452) (xy 171.90315 60.663208) (xy 171.903152 60.663218) - (xy 171.958023 60.868001) (xy 171.958025 60.868005) (xy 171.958026 60.868009) (xy 171.986065 60.928139) - (xy 172.047629 61.060164) (xy 172.047631 61.060168) (xy 172.169231 61.233831) (xy 172.170983 61.235918) - (xy 172.171466 61.237023) (xy 172.172344 61.238276) (xy 172.172092 61.238452) (xy 172.199001 61.299924) - (xy 172.2 61.315632) (xy 172.2 62.128367) (xy 172.180315 62.195406) (xy 172.170989 62.208073) (xy 172.169238 62.210159) + (xy 171.958026 58.328009) (xy 172.047629 58.520164) (xy 172.047631 58.520168) (xy 172.169231 58.693831) + (xy 172.170983 58.695918) (xy 172.171466 58.697023) (xy 172.172344 58.698276) (xy 172.172092 58.698452) + (xy 172.199001 58.759924) (xy 172.2 58.775632) (xy 172.2 59.588367) (xy 172.180315 59.655406) (xy 172.170989 59.668073) + (xy 172.169238 59.670159) (xy 172.047631 59.843831) (xy 172.047629 59.843835) (xy 171.958027 60.035989) + (xy 171.958023 60.035998) (xy 171.903152 60.240781) (xy 171.90315 60.240791) (xy 171.884672 60.451999) + (xy 171.884672 60.452) (xy 171.90315 60.663208) (xy 171.903152 60.663218) (xy 171.958023 60.868001) + (xy 171.958025 60.868005) (xy 171.958026 60.868009) (xy 171.986065 60.928139) (xy 172.047629 61.060164) + (xy 172.047631 61.060168) (xy 172.169231 61.233831) (xy 172.170983 61.235918) (xy 172.171466 61.237023) + (xy 172.172344 61.238276) (xy 172.172092 61.238452) (xy 172.199001 61.299924) (xy 172.2 61.315632) + (xy 172.2 62.128367) (xy 172.180315 62.195406) (xy 172.170989 62.208073) (xy 172.169238 62.210159) (xy 172.047631 62.383831) (xy 172.047629 62.383835) (xy 171.958027 62.575989) (xy 171.958023 62.575998) (xy 171.903152 62.780781) (xy 171.90315 62.780791) (xy 171.884672 62.991999) (xy 171.884672 62.992) (xy 171.90315 63.203208) (xy 171.903152 63.203218) (xy 171.958023 63.408001) (xy 171.958025 63.408005) @@ -7632,45 +8837,43 @@ (xy 171.90315 68.283208) (xy 171.903152 68.283218) (xy 171.958023 68.488001) (xy 171.958025 68.488005) (xy 171.958026 68.488009) (xy 171.988955 68.554337) (xy 172.047629 68.680164) (xy 172.047631 68.680168) (xy 172.169231 68.853831) (xy 172.170983 68.855918) (xy 172.171466 68.857023) (xy 172.172344 68.858276) - (xy 172.172092 68.858452) (xy 172.199001 68.919924) (xy 172.2 68.935632) (xy 172.2 71.6) (xy 173.552213 71.6) - (xy 173.619252 71.619685) (xy 173.665007 71.672489) (xy 173.674951 71.741647) (xy 173.669254 71.764955) - (xy 173.611033 71.931337) (xy 173.61103 71.93135) (xy 173.590835 72.110596) (xy 173.590835 72.110602) - (xy 173.610757 72.287416) (xy 173.598703 72.356238) (xy 173.551353 72.407618) (xy 173.487537 72.4253) - (xy 169.684129 72.4253) (xy 169.558461 72.450297) (xy 169.558455 72.450299) (xy 169.440074 72.499334) - (xy 169.333531 72.570523) (xy 169.183082 72.720972) (xy 169.121759 72.754456) (xy 169.052067 72.749472) - (xy 168.996134 72.7076) (xy 168.976135 72.667225) (xy 168.944017 72.554341) (xy 168.846912 72.359328) - (xy 168.715627 72.185478) (xy 168.591623 72.072434) (xy 168.555345 72.012727) (xy 168.557105 71.942879) - (xy 168.591623 71.889165) (xy 168.715627 71.776122) (xy 168.846912 71.602272) (xy 168.944017 71.407259) - (xy 169.003635 71.197723) (xy 169.023736 70.9808) (xy 169.003635 70.763877) (xy 168.944017 70.554341) - (xy 168.846912 70.359328) (xy 168.715627 70.185478) (xy 168.591623 70.072434) (xy 168.555345 70.012727) - (xy 168.557105 69.942879) (xy 168.591623 69.889165) (xy 168.715627 69.776122) (xy 168.846912 69.602272) - (xy 168.944017 69.407259) (xy 169.003635 69.197723) (xy 169.023736 68.9808) (xy 169.003635 68.763877) - (xy 168.944017 68.554341) (xy 168.846912 68.359328) (xy 168.715627 68.185478) (xy 168.591623 68.072434) - (xy 168.555345 68.012727) (xy 168.557105 67.942879) (xy 168.591623 67.889165) (xy 168.715627 67.776122) - (xy 168.846912 67.602272) (xy 168.944017 67.407259) (xy 169.003635 67.197723) (xy 169.023736 66.9808) - (xy 169.003635 66.763877) (xy 168.944017 66.554341) (xy 168.846912 66.359328) (xy 168.715627 66.185478) - (xy 168.591623 66.072434) (xy 168.555345 66.012727) (xy 168.557105 65.942879) (xy 168.591623 65.889165) - (xy 168.715627 65.776122) (xy 168.846912 65.602272) (xy 168.944017 65.407259) (xy 169.003635 65.197723) - (xy 169.023736 64.9808) (xy 169.003635 64.763877) (xy 168.944017 64.554341) (xy 168.846912 64.359328) - (xy 168.715627 64.185478) (xy 168.591623 64.072434) (xy 168.555345 64.012727) (xy 168.557105 63.942879) - (xy 168.591623 63.889165) (xy 168.715627 63.776122) (xy 168.846912 63.602272) (xy 168.944017 63.407259) - (xy 169.003635 63.197723) (xy 169.023736 62.9808) (xy 169.003635 62.763877) (xy 168.944017 62.554341) - (xy 168.846912 62.359328) (xy 168.715627 62.185478) (xy 168.591623 62.072434) (xy 168.555345 62.012727) - (xy 168.557105 61.942879) (xy 168.591623 61.889165) (xy 168.715627 61.776122) (xy 168.846912 61.602272) - (xy 168.944017 61.407259) (xy 169.003635 61.197723) (xy 169.023736 60.9808) (xy 169.003635 60.763877) - (xy 168.944017 60.554341) (xy 168.846912 60.359328) (xy 168.715627 60.185478) (xy 168.591623 60.072434) - (xy 168.555345 60.012727) (xy 168.557105 59.942879) (xy 168.591623 59.889165) (xy 168.715627 59.776122) - (xy 168.846912 59.602272) (xy 168.944017 59.407259) (xy 169.003635 59.197723) (xy 169.023736 58.9808) - (xy 169.003635 58.763877) (xy 168.944017 58.554341) (xy 168.846912 58.359328) (xy 168.715627 58.185478) - (xy 168.591623 58.072434) (xy 168.555345 58.012727) (xy 168.557105 57.942879) (xy 168.591623 57.889165) - (xy 168.715627 57.776122) (xy 168.846912 57.602272) (xy 168.944017 57.407259) (xy 169.003635 57.197723) - (xy 169.023736 56.9808) (xy 169.003635 56.763877) (xy 168.944017 56.554341) (xy 168.846912 56.359328) - (xy 168.715627 56.185478) (xy 168.591623 56.072434) (xy 168.555345 56.012727) (xy 168.557105 55.942879) - (xy 168.591623 55.889165) (xy 168.715627 55.776122) (xy 168.846912 55.602272) (xy 168.944017 55.407259) - (xy 169.003635 55.197723) (xy 169.023736 54.9808) (xy 169.003635 54.763877) (xy 168.944017 54.554341) - (xy 168.846912 54.359328) (xy 168.715627 54.185478) (xy 168.591623 54.072434) (xy 168.555345 54.012727) - (xy 168.557105 53.942879) (xy 168.591623 53.889165) (xy 168.715627 53.776122) (xy 168.787781 53.680573) - (xy 168.84389 53.638937) (xy 168.886735 53.6313) (xy 172.076 53.6313) + (xy 172.172092 68.858452) (xy 172.199001 68.919924) (xy 172.2 68.935632) (xy 172.2 71.1442) (xy 172.180315 71.211239) + (xy 172.127511 71.256994) (xy 172.076 71.2682) (xy 170.841229 71.2682) (xy 170.715561 71.293197) + (xy 170.715555 71.293199) (xy 170.59717 71.342235) (xy 170.490631 71.413422) (xy 170.490624 71.413428) + (xy 169.183082 72.72097) (xy 169.121759 72.754455) (xy 169.052067 72.749471) (xy 168.996134 72.707599) + (xy 168.976135 72.667225) (xy 168.944017 72.554341) (xy 168.846912 72.359328) (xy 168.715627 72.185478) + (xy 168.591623 72.072434) (xy 168.555345 72.012727) (xy 168.557105 71.942879) (xy 168.591623 71.889165) + (xy 168.715627 71.776122) (xy 168.846912 71.602272) (xy 168.944017 71.407259) (xy 169.003635 71.197723) + (xy 169.023736 70.9808) (xy 169.003635 70.763877) (xy 168.944017 70.554341) (xy 168.846912 70.359328) + (xy 168.715627 70.185478) (xy 168.591623 70.072434) (xy 168.555345 70.012727) (xy 168.557105 69.942879) + (xy 168.591623 69.889165) (xy 168.715627 69.776122) (xy 168.846912 69.602272) (xy 168.944017 69.407259) + (xy 169.003635 69.197723) (xy 169.023736 68.9808) (xy 169.003635 68.763877) (xy 168.944017 68.554341) + (xy 168.846912 68.359328) (xy 168.715627 68.185478) (xy 168.591623 68.072434) (xy 168.555345 68.012727) + (xy 168.557105 67.942879) (xy 168.591623 67.889165) (xy 168.715627 67.776122) (xy 168.846912 67.602272) + (xy 168.944017 67.407259) (xy 169.003635 67.197723) (xy 169.023736 66.9808) (xy 169.003635 66.763877) + (xy 168.944017 66.554341) (xy 168.846912 66.359328) (xy 168.715627 66.185478) (xy 168.591623 66.072434) + (xy 168.555345 66.012727) (xy 168.557105 65.942879) (xy 168.591623 65.889165) (xy 168.715627 65.776122) + (xy 168.846912 65.602272) (xy 168.944017 65.407259) (xy 169.003635 65.197723) (xy 169.023736 64.9808) + (xy 169.003635 64.763877) (xy 168.944017 64.554341) (xy 168.846912 64.359328) (xy 168.715627 64.185478) + (xy 168.591623 64.072434) (xy 168.555345 64.012727) (xy 168.557105 63.942879) (xy 168.591623 63.889165) + (xy 168.715627 63.776122) (xy 168.846912 63.602272) (xy 168.944017 63.407259) (xy 169.003635 63.197723) + (xy 169.023736 62.9808) (xy 169.003635 62.763877) (xy 168.944017 62.554341) (xy 168.846912 62.359328) + (xy 168.715627 62.185478) (xy 168.591623 62.072434) (xy 168.555345 62.012727) (xy 168.557105 61.942879) + (xy 168.591623 61.889165) (xy 168.715627 61.776122) (xy 168.846912 61.602272) (xy 168.944017 61.407259) + (xy 169.003635 61.197723) (xy 169.023736 60.9808) (xy 169.003635 60.763877) (xy 168.944017 60.554341) + (xy 168.846912 60.359328) (xy 168.715627 60.185478) (xy 168.591623 60.072434) (xy 168.555345 60.012727) + (xy 168.557105 59.942879) (xy 168.591623 59.889165) (xy 168.715627 59.776122) (xy 168.846912 59.602272) + (xy 168.944017 59.407259) (xy 169.003635 59.197723) (xy 169.023736 58.9808) (xy 169.003635 58.763877) + (xy 168.944017 58.554341) (xy 168.846912 58.359328) (xy 168.715627 58.185478) (xy 168.591623 58.072434) + (xy 168.555345 58.012727) (xy 168.557105 57.942879) (xy 168.591623 57.889165) (xy 168.715627 57.776122) + (xy 168.846912 57.602272) (xy 168.944017 57.407259) (xy 169.003635 57.197723) (xy 169.023736 56.9808) + (xy 169.003635 56.763877) (xy 168.944017 56.554341) (xy 168.846912 56.359328) (xy 168.715627 56.185478) + (xy 168.591623 56.072434) (xy 168.555345 56.012727) (xy 168.557105 55.942879) (xy 168.591623 55.889165) + (xy 168.715627 55.776122) (xy 168.846912 55.602272) (xy 168.944017 55.407259) (xy 169.003635 55.197723) + (xy 169.023736 54.9808) (xy 169.003635 54.763877) (xy 168.944017 54.554341) (xy 168.846912 54.359328) + (xy 168.715627 54.185478) (xy 168.591623 54.072434) (xy 168.555345 54.012727) (xy 168.557105 53.942879) + (xy 168.591623 53.889165) (xy 168.715627 53.776122) (xy 168.787781 53.680573) (xy 168.84389 53.638937) + (xy 168.886735 53.6313) (xy 172.076 53.6313) ) ) (filled_polygon @@ -7703,26 +8906,6 @@ (filled_polygon (layer "B.Cu") (pts - (xy 182.441075 56.269685) (xy 182.461717 56.286319) (xy 182.479157 56.303759) (xy 182.479163 56.303764) - (xy 182.652831 56.425368) (xy 182.652833 56.425369) (xy 182.652836 56.425371) (xy 182.844991 56.514974) - (xy 182.968847 56.548161) (xy 183.028508 56.584526) (xy 183.059037 56.647373) (xy 183.050742 56.716748) - (xy 183.024435 56.755617) (xy 181.494725 58.285328) (xy 181.494722 58.285331) (xy 181.459165 58.338546) - (xy 181.459166 58.338547) (xy 181.423534 58.391874) (xy 181.374499 58.510255) (xy 181.374497 58.510261) - (xy 181.3495 58.635928) (xy 181.3495 66.833471) (xy 181.329815 66.90051) (xy 181.277011 66.946265) - (xy 181.207853 66.956209) (xy 181.173098 66.945854) (xy 181.137009 66.929026) (xy 181.137006 66.929025) - (xy 181.137001 66.929023) (xy 180.932218 66.874152) (xy 180.932208 66.87415) (xy 180.721001 66.855672) - (xy 180.720996 66.855672) (xy 180.701128 66.85741) (xy 180.632628 66.843643) (xy 180.602641 66.821563) - (xy 179.036819 65.255741) (xy 179.003334 65.194418) (xy 179.0005 65.16806) (xy 179.0005 57.582939) - (xy 179.020185 57.5159) (xy 179.036815 57.495262) (xy 179.91206 56.620016) (xy 179.973381 56.586533) - (xy 179.999729 56.583699) (xy 181.480072 56.583699) (xy 181.539683 56.577291) (xy 181.674531 56.526996) - (xy 181.789746 56.440746) (xy 181.875996 56.325531) (xy 181.875997 56.325526) (xy 181.880247 56.317746) - (xy 181.882734 56.319104) (xy 181.915957 56.27473) (xy 181.981422 56.250316) (xy 181.990263 56.25) - (xy 182.374036 56.25) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts (xy 187.053493 60.221965) (xy 187.109426 60.263837) (xy 187.133843 60.329301) (xy 187.133687 60.348954) (xy 187.124672 60.451997) (xy 187.124672 60.452) (xy 187.14315 60.663208) (xy 187.143152 60.663218) (xy 187.198023 60.868001) (xy 187.198025 60.868005) (xy 187.198026 60.868009) (xy 187.287629 61.060164) @@ -7753,11 +8936,13 @@ (xy 183.472218 66.874152) (xy 183.472208 66.87415) (xy 183.261001 66.855672) (xy 183.260999 66.855672) (xy 183.049791 66.87415) (xy 183.049781 66.874152) (xy 182.844998 66.929023) (xy 182.844988 66.929027) (xy 182.826901 66.937461) (xy 182.757824 66.947951) (xy 182.694041 66.919429) (xy 182.655803 66.860951) - (xy 182.6505 66.825077) (xy 182.6505 59.020808) (xy 182.670185 58.953769) (xy 182.686819 58.933127) - (xy 185.005273 56.614673) (xy 185.005277 56.614669) (xy 185.076465 56.508127) (xy 185.076466 56.508123) - (xy 185.076468 56.508121) (xy 185.079338 56.502753) (xy 185.081695 56.504013) (xy 185.118226 56.45861) + (xy 182.6505 66.825077) (xy 182.6505 66.720808) (xy 182.670185 66.653769) (xy 182.686819 66.633127) + (xy 183.005272 66.314674) (xy 183.005277 66.314669) (xy 183.076465 66.208127) (xy 183.079624 66.2005) + (xy 183.125501 66.089744) (xy 183.1505 65.964069) (xy 183.1505 58.520807) (xy 183.170185 58.453768) + (xy 183.186819 58.433126) (xy 185.005273 56.614672) (xy 185.005276 56.614669) (xy 185.076465 56.508127) + (xy 185.076467 56.50812) (xy 185.079335 56.502757) (xy 185.081692 56.504016) (xy 185.118226 56.45861) (xy 185.184503 56.436494) (xy 185.241428 56.448029) (xy 185.384991 56.514974) (xy 185.589787 56.569849) - (xy 185.757544 56.584526) (xy 185.800999 56.588328) (xy 185.801 56.588328) (xy 185.801001 56.588328) + (xy 185.73729 56.582754) (xy 185.800999 56.588328) (xy 185.801 56.588328) (xy 185.801001 56.588328) (xy 185.844456 56.584526) (xy 186.012213 56.569849) (xy 186.217009 56.514974) (xy 186.409164 56.425371) (xy 186.582841 56.303761) (xy 186.600283 56.286319) (xy 186.661606 56.252834) (xy 186.687964 56.25) (xy 187.276 56.25) @@ -7766,6 +8951,42 @@ (filled_polygon (layer "B.Cu") (pts + (xy 182.441075 56.269685) (xy 182.461717 56.286319) (xy 182.479157 56.303759) (xy 182.479163 56.303764) + (xy 182.652831 56.425368) (xy 182.652833 56.425369) (xy 182.652836 56.425371) (xy 182.844991 56.514974) + (xy 182.968847 56.548161) (xy 183.028508 56.584526) (xy 183.059037 56.647373) (xy 183.050742 56.716748) + (xy 183.024435 56.755617) (xy 181.994725 57.785328) (xy 181.994724 57.785329) (xy 181.994723 57.785331) + (xy 181.952896 57.847931) (xy 181.938215 57.869902) (xy 181.923535 57.891872) (xy 181.923533 57.891875) + (xy 181.874499 58.010255) (xy 181.874497 58.010261) (xy 181.8495 58.135928) (xy 181.8495 64.499441) + (xy 181.829815 64.56648) (xy 181.777011 64.612235) (xy 181.707853 64.622179) (xy 181.684555 64.616486) + (xy 181.67926 64.614633) (xy 181.679256 64.614632) (xy 181.679255 64.614632) (xy 181.657983 64.612235) + (xy 181.628303 64.60889) (xy 181.618003 64.607288) (xy 181.578844 64.5995) (xy 181.578842 64.5995) + (xy 181.551915 64.5995) (xy 181.538031 64.59872) (xy 181.500002 64.594435) (xy 181.499998 64.594435) + (xy 181.461969 64.59872) (xy 181.448085 64.5995) (xy 181.271154 64.5995) (xy 181.116509 64.630261) + (xy 181.116497 64.630264) (xy 181.073832 64.647936) (xy 181.073833 64.647937) (xy 180.970823 64.690604) + (xy 180.970814 64.690609) (xy 180.839712 64.778209) (xy 180.839711 64.778211) (xy 180.83971 64.778212) + (xy 178.889711 66.728211) (xy 178.854045 66.763877) (xy 178.778209 66.839712) (xy 178.778208 66.839713) + (xy 178.74876 66.883785) (xy 178.695147 66.92859) (xy 178.625822 66.937296) (xy 178.602378 66.930084) + (xy 178.60209 66.930876) (xy 178.597001 66.929023) (xy 178.392218 66.874152) (xy 178.392208 66.87415) + (xy 178.181001 66.855672) (xy 178.180999 66.855672) (xy 177.969791 66.87415) (xy 177.969781 66.874152) + (xy 177.768393 66.928114) (xy 177.698543 66.926451) (xy 177.640681 66.887288) (xy 177.613177 66.82306) + (xy 177.6123 66.808339) (xy 177.6123 66.344181) (xy 177.631985 66.277142) (xy 177.684789 66.231387) + (xy 177.753947 66.221443) (xy 177.790094 66.233297) (xy 177.790192 66.233063) (xy 177.793275 66.23434) + (xy 177.794763 66.234828) (xy 177.795815 66.23539) (xy 177.795821 66.235394) (xy 177.795827 66.235396) + (xy 177.795828 66.235397) (xy 177.879653 66.270118) (xy 177.941503 66.295737) (xy 178.096153 66.326499) + (xy 178.096156 66.3265) (xy 178.096158 66.3265) (xy 178.253844 66.3265) (xy 178.253845 66.326499) + (xy 178.408497 66.295737) (xy 178.554179 66.235394) (xy 178.685289 66.147789) (xy 178.796789 66.036289) + (xy 178.884394 65.905179) (xy 178.944737 65.759497) (xy 178.9755 65.604842) (xy 178.9755 65.451914) + (xy 178.97628 65.438029) (xy 178.980565 65.400001) (xy 178.980565 65.399997) (xy 178.97628 65.361969) + (xy 178.9755 65.348085) (xy 178.9755 57.707939) (xy 178.995185 57.6409) (xy 179.011814 57.620262) + (xy 180.012059 56.620017) (xy 180.073382 56.586533) (xy 180.09974 56.583699) (xy 181.480071 56.583699) + (xy 181.480072 56.583699) (xy 181.539683 56.577291) (xy 181.674531 56.526996) (xy 181.789746 56.440746) + (xy 181.875996 56.325531) (xy 181.875997 56.325526) (xy 181.880247 56.317746) (xy 181.882734 56.319104) + (xy 181.915957 56.27473) (xy 181.981422 56.250316) (xy 181.990263 56.25) (xy 182.374036 56.25) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts (xy 166.0932 66.665114) (xy 166.088806 66.66072) (xy 165.997594 66.608059) (xy 165.895861 66.5808) (xy 165.790539 66.5808) (xy 165.688806 66.608059) (xy 165.597594 66.66072) (xy 165.5932 66.665114) (xy 165.5932 65.296486) (xy 165.597594 65.30088) (xy 165.688806 65.353541) (xy 165.790539 65.3808) @@ -8058,105 +9279,86 @@ (xy 169.490913 82.694926) (xy 169.490908 82.69492) (xy 169.323878 82.52789) (xy 169.138205 82.397879) (xy 169.09458 82.343302) (xy 169.087388 82.273804) (xy 169.11891 82.211449) (xy 169.138206 82.19473) (xy 169.138642 82.194425) (xy 169.324201 82.064495) (xy 169.491295 81.897401) (xy 169.626835 81.70383) - (xy 169.726703 81.489663) (xy 169.787863 81.261408) (xy 169.808459 81.026) (xy 169.787863 80.790592) - (xy 169.735206 80.594071) (xy 169.726705 80.562344) (xy 169.726704 80.562343) (xy 169.726703 80.562337) - (xy 169.626835 80.348171) (xy 169.622731 80.342309) (xy 169.491294 80.154597) (xy 169.324202 79.987506) - (xy 169.324196 79.987501) (xy 169.138642 79.857575) (xy 169.095017 79.802998) (xy 169.087823 79.7335) - (xy 169.119346 79.671145) (xy 169.138642 79.654425) (xy 169.202009 79.610055) (xy 169.324201 79.524495) - (xy 169.491295 79.357401) (xy 169.626835 79.16383) (xy 169.726703 78.949663) (xy 169.787863 78.721408) - (xy 169.808459 78.486) (xy 169.787863 78.250592) (xy 169.726703 78.022337) (xy 169.626835 77.808171) - (xy 169.614979 77.791239) (xy 169.491296 77.6146) (xy 169.446127 77.569431) (xy 169.375665 77.498969) - (xy 169.342182 77.437649) (xy 169.347166 77.367957) (xy 169.375665 77.323611) (xy 171.000923 75.698354) - (xy 171.062245 75.66487) (xy 171.131937 75.669854) (xy 171.18787 75.711726) (xy 171.212287 75.77719) - (xy 171.197435 75.845463) (xy 171.190178 75.857159) (xy 171.14 75.92882) (xy 171.139999 75.928822) - (xy 171.04017 76.142907) (xy 171.040167 76.142913) (xy 170.982964 76.356399) (xy 170.982964 76.3564) - (xy 171.880588 76.3564) (xy 171.847675 76.413407) (xy 171.8136 76.540574) (xy 171.8136 76.672226) - (xy 171.847675 76.799393) (xy 171.880588 76.8564) (xy 170.982964 76.8564) (xy 171.040167 77.069886) - (xy 171.04017 77.069892) (xy 171.139999 77.283978) (xy 171.275494 77.477482) (xy 171.442517 77.644505) - (xy 171.628195 77.774519) (xy 171.671819 77.829096) (xy 171.679012 77.898595) (xy 171.64749 77.960949) - (xy 171.628195 77.977669) (xy 171.442194 78.107908) (xy 171.275105 78.274997) (xy 171.139565 78.468569) - (xy 171.139564 78.468571) (xy 171.039698 78.682735) (xy 171.039694 78.682744) (xy 170.978538 78.910986) - (xy 170.978536 78.910996) (xy 170.957941 79.146399) (xy 170.957941 79.1464) (xy 170.978536 79.381803) - (xy 170.978538 79.381813) (xy 171.039694 79.610055) (xy 171.039696 79.610059) (xy 171.039697 79.610063) - (xy 171.118846 79.779798) (xy 171.139565 79.82423) (xy 171.139567 79.824234) (xy 171.193915 79.90185) - (xy 171.275101 80.017796) (xy 171.275106 80.017802) (xy 171.39703 80.139726) (xy 171.430515 80.201049) - (xy 171.425531 80.270741) (xy 171.383659 80.326674) (xy 171.352683 80.343589) (xy 171.221269 80.392603) - (xy 171.221264 80.392606) (xy 171.106055 80.478852) (xy 171.106052 80.478855) (xy 171.019806 80.594064) - (xy 171.019802 80.594071) (xy 170.969508 80.728917) (xy 170.963101 80.788516) (xy 170.963101 80.788523) - (xy 170.9631 80.788535) (xy 170.9631 82.58427) (xy 170.963101 82.584276) (xy 170.969508 82.643883) - (xy 171.019802 82.778728) (xy 171.019806 82.778735) (xy 171.106052 82.893944) (xy 171.106055 82.893947) - (xy 171.221264 82.980193) (xy 171.221271 82.980197) (xy 171.356117 83.030491) (xy 171.356116 83.030491) - (xy 171.363044 83.031235) (xy 171.415727 83.0369) (xy 173.211472 83.036899) (xy 173.271083 83.030491) - (xy 173.405931 82.980196) (xy 173.521146 82.893946) (xy 173.607396 82.778731) (xy 173.657691 82.643883) - (xy 173.6641 82.584273) (xy 173.664099 80.788528) (xy 173.657691 80.728917) (xy 173.607396 80.594069) - (xy 173.607395 80.594068) (xy 173.607393 80.594064) (xy 173.521147 80.478855) (xy 173.521144 80.478852) - (xy 173.405935 80.392606) (xy 173.405928 80.392602) (xy 173.274517 80.343589) (xy 173.218583 80.301718) - (xy 173.194166 80.236253) (xy 173.209018 80.16798) (xy 173.230163 80.139732) (xy 173.352095 80.017801) - (xy 173.487635 79.82423) (xy 173.587503 79.610063) (xy 173.648663 79.381808) (xy 173.669259 79.1464) - (xy 173.648663 78.910992) (xy 173.587503 78.682737) (xy 173.487635 78.468571) (xy 173.352095 78.274999) - (xy 173.352094 78.274997) (xy 173.185002 78.107906) (xy 173.185001 78.107905) (xy 172.999005 77.977669) - (xy 172.955381 77.923092) (xy 172.948188 77.853593) (xy 172.97971 77.791239) (xy 172.999005 77.774519) - (xy 173.184682 77.644505) (xy 173.351705 77.477482) (xy 173.4872 77.283978) (xy 173.587029 77.069892) - (xy 173.587032 77.069886) (xy 173.644236 76.8564) (xy 172.746612 76.8564) (xy 172.779525 76.799393) - (xy 172.8136 76.672226) (xy 172.8136 76.540574) (xy 172.779525 76.413407) (xy 172.746612 76.3564) - (xy 173.644236 76.3564) (xy 173.644235 76.356399) (xy 173.587032 76.142913) (xy 173.587029 76.142907) - (xy 173.4872 75.928822) (xy 173.487199 75.92882) (xy 173.351713 75.735326) (xy 173.351708 75.73532) - (xy 173.184682 75.568294) (xy 172.991178 75.432799) (xy 172.777092 75.33297) (xy 172.777083 75.332966) - (xy 172.753229 75.326575) (xy 172.693569 75.29021) (xy 172.663039 75.227364) (xy 172.671333 75.157988) - (xy 172.715818 75.10411) (xy 172.78237 75.082835) (xy 172.785322 75.0828) (xy 176.951744 75.0828) - (xy 176.951745 75.082799) (xy 177.106397 75.052037) (xy 177.252079 74.991694) (xy 177.383189 74.904089) - (xy 177.836495 74.450782) (xy 177.897814 74.4173) (xy 177.967505 74.422284) (xy 178.023439 74.464155) - (xy 178.047392 74.524582) (xy 178.05603 74.60125) (xy 178.056031 74.601254) (xy 178.115611 74.771523) - (xy 178.150097 74.826406) (xy 178.211584 74.924262) (xy 178.339138 75.051816) (xy 178.392959 75.085634) - (xy 178.469431 75.133685) (xy 178.491878 75.147789) (xy 178.662145 75.207368) (xy 178.66215 75.207369) - (xy 178.841396 75.227565) (xy 178.8414 75.227565) (xy 178.841404 75.227565) (xy 179.020649 75.207369) - (xy 179.020652 75.207368) (xy 179.020655 75.207368) (xy 179.190922 75.147789) (xy 179.343662 75.051816) - (xy 179.471216 74.924262) (xy 179.567189 74.771522) (xy 179.626768 74.601255) (xy 179.627582 74.594025) - (xy 179.654645 74.529611) (xy 179.663112 74.520232) (xy 182.500424 71.682922) (xy 182.561747 71.649437) - (xy 182.631439 71.654421) (xy 182.650102 71.663214) (xy 182.653086 71.664936) (xy 182.845161 71.754502) - (xy 182.84517 71.754506) (xy 183.049868 71.809354) (xy 183.049879 71.809356) (xy 183.260998 71.827827) - (xy 183.261002 71.827827) (xy 183.47212 71.809356) (xy 183.472131 71.809354) (xy 183.676829 71.754506) - (xy 183.676843 71.754501) (xy 183.722132 71.733382) (xy 183.791209 71.722889) (xy 183.854993 71.751408) - (xy 183.893234 71.809884) (xy 183.896155 71.82157) (xy 183.901152 71.84669) (xy 183.901152 71.846692) - (xy 183.905497 71.868537) (xy 183.905498 71.868541) (xy 183.905499 71.868544) (xy 183.954535 71.986927) - (xy 184.017173 72.080673) (xy 184.025726 72.093473) (xy 184.025727 72.093474) (xy 184.534291 72.602037) - (xy 184.567776 72.66336) (xy 184.562792 72.733051) (xy 184.520921 72.788985) (xy 184.49252 72.804119) - (xy 184.492553 72.804188) (xy 184.49088 72.804993) (xy 184.487573 72.806756) (xy 184.486283 72.807207) - (xy 184.333537 72.903184) (xy 184.205984 73.030737) (xy 184.110011 73.183476) (xy 184.050431 73.353745) - (xy 184.05043 73.35375) (xy 184.030235 73.532996) (xy 184.030235 73.533003) (xy 184.05043 73.712249) - (xy 184.050431 73.712254) (xy 184.110011 73.882523) (xy 184.171763 73.9808) (xy 184.205984 74.035262) - (xy 184.333538 74.162816) (xy 184.486278 74.258789) (xy 184.656545 74.318368) (xy 184.663774 74.319182) - (xy 184.728188 74.346246) (xy 184.737576 74.354722) (xy 186.834124 76.451271) (xy 186.834127 76.451274) - (xy 186.885027 76.485284) (xy 186.940666 76.522461) (xy 186.940672 76.522464) (xy 186.940673 76.522465) - (xy 187.059056 76.571501) (xy 187.05906 76.571501) (xy 187.059061 76.571502) (xy 187.184728 76.5965) - (xy 187.184731 76.5965) (xy 189.882932 76.5965) (xy 189.948904 76.615506) (xy 190.038477 76.671789) - (xy 190.038481 76.67179) (xy 190.208737 76.731366) (xy 190.208743 76.731367) (xy 190.208745 76.731368) - (xy 190.208746 76.731368) (xy 190.20875 76.731369) (xy 190.387996 76.751565) (xy 190.388 76.751565) - (xy 190.388004 76.751565) (xy 190.567249 76.731369) (xy 190.567252 76.731368) (xy 190.567255 76.731368) - (xy 190.737522 76.671789) (xy 190.890262 76.575816) (xy 191.017816 76.448262) (xy 191.113789 76.295522) - (xy 191.173368 76.125255) (xy 191.173369 76.125249) (xy 191.193565 75.946003) (xy 191.193565 75.945996) - (xy 191.173369 75.76675) (xy 191.173368 75.766745) (xy 191.113788 75.596476) (xy 191.045812 75.488293) - (xy 191.017816 75.443738) (xy 190.890262 75.316184) (xy 190.857344 75.2955) (xy 190.737523 75.220211) - (xy 190.567254 75.160631) (xy 190.567249 75.16063) (xy 190.388004 75.140435) (xy 190.387996 75.140435) - (xy 190.20875 75.16063) (xy 190.208737 75.160633) (xy 190.038481 75.220209) (xy 190.038477 75.22021) - (xy 189.948904 75.276494) (xy 189.882932 75.2955) (xy 187.569608 75.2955) (xy 187.502569 75.275815) - (xy 187.481927 75.259181) (xy 186.770327 74.547581) (xy 186.736842 74.486258) (xy 186.741826 74.416566) - (xy 186.783698 74.360633) (xy 186.849162 74.336216) (xy 186.858008 74.3359) (xy 189.969532 74.3359) - (xy 190.035504 74.354906) (xy 190.125077 74.411189) (xy 190.125081 74.41119) (xy 190.295337 74.470766) - (xy 190.295343 74.470767) (xy 190.295345 74.470768) (xy 190.295346 74.470768) (xy 190.29535 74.470769) - (xy 190.474596 74.490965) (xy 190.4746 74.490965) (xy 190.474604 74.490965) (xy 190.653849 74.470769) - (xy 190.653851 74.470768) (xy 190.653855 74.470768) (xy 190.653858 74.470766) (xy 190.653862 74.470766) - (xy 190.79376 74.421813) (xy 190.824122 74.411189) (xy 190.824122 74.411188) (xy 190.828188 74.409766) - (xy 190.897966 74.406203) (xy 190.935113 74.421812) (xy 191.039478 74.487389) (xy 191.160142 74.529611) - (xy 191.209745 74.546968) (xy 191.20975 74.546969) (xy 191.388996 74.567165) (xy 191.389 74.567165) - (xy 191.389004 74.567165) (xy 191.568249 74.546969) (xy 191.568252 74.546968) (xy 191.568255 74.546968) - (xy 191.738522 74.487389) (xy 191.891262 74.391416) (xy 191.974003 74.308673) (xy 191.992789 74.293254) - (xy 192.032269 74.266877) (xy 192.656277 73.642869) (xy 192.727466 73.536326) (xy 192.756189 73.466979) - (xy 192.776501 73.417944) (xy 192.78389 73.3808) (xy 192.8015 73.292271) (xy 192.8015 59.117928) - (xy 192.776502 58.992261) (xy 192.776501 58.99226) (xy 192.776501 58.992256) (xy 192.727465 58.873873) - (xy 192.727464 58.873872) (xy 192.727461 58.873866) (xy 192.656277 58.767332) (xy 192.613547 58.724602) - (xy 192.565669 58.676724) (xy 192.11437 58.225425) (xy 192.080885 58.164102) (xy 192.078523 58.126936) - (xy 192.097328 57.912) (xy 192.097328 57.911999) (xy 192.08544 57.77612) (xy 192.078849 57.700787) + (xy 169.726703 81.489663) (xy 169.787863 81.261408) (xy 169.808459 81.026) (xy 169.79551 80.878005) + (xy 169.809276 80.809508) (xy 169.831354 80.779522) (xy 170.902112 79.708764) (xy 170.963433 79.675281) + (xy 171.033125 79.680265) (xy 171.089058 79.722137) (xy 171.102171 79.744039) (xy 171.118846 79.779798) + (xy 171.139565 79.82423) (xy 171.139567 79.824234) (xy 171.193915 79.90185) (xy 171.275101 80.017796) + (xy 171.275106 80.017802) (xy 171.39703 80.139726) (xy 171.430515 80.201049) (xy 171.425531 80.270741) + (xy 171.383659 80.326674) (xy 171.352683 80.343589) (xy 171.221269 80.392603) (xy 171.221264 80.392606) + (xy 171.106055 80.478852) (xy 171.106052 80.478855) (xy 171.019806 80.594064) (xy 171.019802 80.594071) + (xy 170.969508 80.728917) (xy 170.964068 80.779519) (xy 170.963101 80.788523) (xy 170.9631 80.788535) + (xy 170.9631 82.58427) (xy 170.963101 82.584276) (xy 170.969508 82.643883) (xy 171.019802 82.778728) + (xy 171.019806 82.778735) (xy 171.106052 82.893944) (xy 171.106055 82.893947) (xy 171.221264 82.980193) + (xy 171.221271 82.980197) (xy 171.356117 83.030491) (xy 171.356116 83.030491) (xy 171.363044 83.031235) + (xy 171.415727 83.0369) (xy 173.211472 83.036899) (xy 173.271083 83.030491) (xy 173.405931 82.980196) + (xy 173.521146 82.893946) (xy 173.607396 82.778731) (xy 173.657691 82.643883) (xy 173.6641 82.584273) + (xy 173.664099 80.788528) (xy 173.657691 80.728917) (xy 173.607396 80.594069) (xy 173.607395 80.594068) + (xy 173.607393 80.594064) (xy 173.521147 80.478855) (xy 173.521144 80.478852) (xy 173.405935 80.392606) + (xy 173.405928 80.392602) (xy 173.274517 80.343589) (xy 173.218583 80.301718) (xy 173.194166 80.236253) + (xy 173.209018 80.16798) (xy 173.230163 80.139732) (xy 173.352095 80.017801) (xy 173.487635 79.82423) + (xy 173.587503 79.610063) (xy 173.648663 79.381808) (xy 173.669259 79.1464) (xy 173.648663 78.910992) + (xy 173.587503 78.682737) (xy 173.487635 78.468571) (xy 173.352095 78.274999) (xy 173.352094 78.274997) + (xy 173.185002 78.107906) (xy 173.185001 78.107905) (xy 172.999005 77.977669) (xy 172.955381 77.923092) + (xy 172.948188 77.853593) (xy 172.97971 77.791239) (xy 172.999005 77.774519) (xy 173.184682 77.644505) + (xy 173.351705 77.477482) (xy 173.4872 77.283978) (xy 173.587029 77.069892) (xy 173.587032 77.069886) + (xy 173.644236 76.8564) (xy 172.746612 76.8564) (xy 172.779525 76.799393) (xy 172.8136 76.672226) + (xy 172.8136 76.540574) (xy 172.779525 76.413407) (xy 172.746612 76.3564) (xy 173.644236 76.3564) + (xy 173.644235 76.356399) (xy 173.587032 76.142913) (xy 173.587029 76.142907) (xy 173.4872 75.928822) + (xy 173.487199 75.92882) (xy 173.351713 75.735326) (xy 173.351708 75.73532) (xy 173.184682 75.568294) + (xy 172.991178 75.432799) (xy 172.777092 75.33297) (xy 172.777086 75.332967) (xy 172.5636 75.275764) + (xy 172.5636 76.173388) (xy 172.506593 76.140475) (xy 172.379426 76.1064) (xy 172.247774 76.1064) + (xy 172.120607 76.140475) (xy 172.0636 76.173388) (xy 172.0636 75.319839) (xy 172.083285 75.2528) + (xy 172.099914 75.232163) (xy 172.495259 74.836819) (xy 172.556582 74.803334) (xy 172.58294 74.8005) + (xy 177.678844 74.8005) (xy 177.678845 74.800499) (xy 177.833497 74.769737) (xy 177.959723 74.717452) + (xy 178.029189 74.709984) (xy 178.091669 74.741259) (xy 178.112166 74.766042) (xy 178.211579 74.924256) + (xy 178.211584 74.924262) (xy 178.339138 75.051816) (xy 178.491878 75.147789) (xy 178.634581 75.197723) + (xy 178.662145 75.207368) (xy 178.66215 75.207369) (xy 178.841396 75.227565) (xy 178.8414 75.227565) + (xy 178.841404 75.227565) (xy 179.020649 75.207369) (xy 179.020652 75.207368) (xy 179.020655 75.207368) + (xy 179.190922 75.147789) (xy 179.343662 75.051816) (xy 179.471216 74.924262) (xy 179.567189 74.771522) + (xy 179.626768 74.601255) (xy 179.627582 74.594025) (xy 179.654645 74.529611) (xy 179.663112 74.520232) + (xy 182.500424 71.682922) (xy 182.561747 71.649437) (xy 182.631439 71.654421) (xy 182.650102 71.663214) + (xy 182.653086 71.664936) (xy 182.845161 71.754502) (xy 182.84517 71.754506) (xy 183.049868 71.809354) + (xy 183.049879 71.809356) (xy 183.260998 71.827827) (xy 183.261002 71.827827) (xy 183.47212 71.809356) + (xy 183.472131 71.809354) (xy 183.676829 71.754506) (xy 183.676838 71.754502) (xy 183.722133 71.733382) + (xy 183.791211 71.72289) (xy 183.854995 71.75141) (xy 183.893234 71.809886) (xy 183.896155 71.821572) + (xy 183.905497 71.868538) (xy 183.905499 71.868544) (xy 183.954535 71.986927) (xy 184.017173 72.080673) + (xy 184.025726 72.093473) (xy 184.025727 72.093474) (xy 184.534291 72.602037) (xy 184.567776 72.66336) + (xy 184.562792 72.733051) (xy 184.520921 72.788985) (xy 184.49252 72.804119) (xy 184.492553 72.804188) + (xy 184.49088 72.804993) (xy 184.487573 72.806756) (xy 184.486283 72.807207) (xy 184.333537 72.903184) + (xy 184.205984 73.030737) (xy 184.110011 73.183476) (xy 184.050431 73.353745) (xy 184.05043 73.35375) + (xy 184.030235 73.532996) (xy 184.030235 73.533003) (xy 184.05043 73.712249) (xy 184.050431 73.712254) + (xy 184.110011 73.882523) (xy 184.171763 73.9808) (xy 184.205984 74.035262) (xy 184.333538 74.162816) + (xy 184.486278 74.258789) (xy 184.656545 74.318368) (xy 184.663774 74.319182) (xy 184.728188 74.346246) + (xy 184.737576 74.354722) (xy 186.834124 76.451271) (xy 186.834127 76.451274) (xy 186.885027 76.485284) + (xy 186.940666 76.522461) (xy 186.940672 76.522464) (xy 186.940673 76.522465) (xy 187.059056 76.571501) + (xy 187.05906 76.571501) (xy 187.059061 76.571502) (xy 187.184728 76.5965) (xy 187.184731 76.5965) + (xy 189.882932 76.5965) (xy 189.948904 76.615506) (xy 190.038477 76.671789) (xy 190.038481 76.67179) + (xy 190.208737 76.731366) (xy 190.208743 76.731367) (xy 190.208745 76.731368) (xy 190.208746 76.731368) + (xy 190.20875 76.731369) (xy 190.387996 76.751565) (xy 190.388 76.751565) (xy 190.388004 76.751565) + (xy 190.567249 76.731369) (xy 190.567252 76.731368) (xy 190.567255 76.731368) (xy 190.737522 76.671789) + (xy 190.890262 76.575816) (xy 191.017816 76.448262) (xy 191.113789 76.295522) (xy 191.173368 76.125255) + (xy 191.174216 76.117729) (xy 191.193565 75.946003) (xy 191.193565 75.945996) (xy 191.173369 75.76675) + (xy 191.173368 75.766745) (xy 191.113788 75.596476) (xy 191.017815 75.443737) (xy 190.890262 75.316184) + (xy 190.737523 75.220211) (xy 190.567254 75.160631) (xy 190.567249 75.16063) (xy 190.388004 75.140435) + (xy 190.387996 75.140435) (xy 190.20875 75.16063) (xy 190.208737 75.160633) (xy 190.038481 75.220209) + (xy 190.038477 75.22021) (xy 189.948904 75.276494) (xy 189.882932 75.2955) (xy 187.569608 75.2955) + (xy 187.502569 75.275815) (xy 187.481927 75.259181) (xy 186.770327 74.547581) (xy 186.736842 74.486258) + (xy 186.741826 74.416566) (xy 186.783698 74.360633) (xy 186.849162 74.336216) (xy 186.858008 74.3359) + (xy 189.969532 74.3359) (xy 190.035504 74.354906) (xy 190.125077 74.411189) (xy 190.125081 74.41119) + (xy 190.295337 74.470766) (xy 190.295343 74.470767) (xy 190.295345 74.470768) (xy 190.295346 74.470768) + (xy 190.29535 74.470769) (xy 190.474596 74.490965) (xy 190.4746 74.490965) (xy 190.474604 74.490965) + (xy 190.653849 74.470769) (xy 190.653851 74.470768) (xy 190.653855 74.470768) (xy 190.653858 74.470766) + (xy 190.653862 74.470766) (xy 190.808755 74.416566) (xy 190.824122 74.411189) (xy 190.824122 74.411188) + (xy 190.828188 74.409766) (xy 190.897966 74.406203) (xy 190.935113 74.421812) (xy 191.039478 74.487389) + (xy 191.160142 74.529611) (xy 191.209745 74.546968) (xy 191.20975 74.546969) (xy 191.388996 74.567165) + (xy 191.389 74.567165) (xy 191.389004 74.567165) (xy 191.568249 74.546969) (xy 191.568252 74.546968) + (xy 191.568255 74.546968) (xy 191.738522 74.487389) (xy 191.891262 74.391416) (xy 191.974003 74.308673) + (xy 191.992789 74.293254) (xy 192.032269 74.266877) (xy 192.656277 73.642869) (xy 192.727466 73.536326) + (xy 192.756189 73.466979) (xy 192.776501 73.417944) (xy 192.78389 73.3808) (xy 192.8015 73.292271) + (xy 192.8015 59.117928) (xy 192.776502 58.992261) (xy 192.776501 58.99226) (xy 192.776501 58.992256) + (xy 192.727465 58.873873) (xy 192.727464 58.873872) (xy 192.727461 58.873866) (xy 192.656277 58.767332) + (xy 192.613547 58.724602) (xy 192.565669 58.676724) (xy 192.11437 58.225425) (xy 192.080885 58.164102) + (xy 192.078523 58.126936) (xy 192.088732 58.010256) (xy 192.097328 57.912) (xy 192.078849 57.700787) (xy 192.023974 57.495991) (xy 191.934371 57.303836) (xy 191.934369 57.303833) (xy 191.934368 57.303831) (xy 191.822425 57.14396) (xy 191.800098 57.077754) (xy 191.8 57.072837) (xy 191.8 56.211162) (xy 191.819685 56.144123) (xy 191.822402 56.14007) (xy 191.934371 55.980164) (xy 192.023974 55.788009) (xy 192.078849 55.583213) @@ -8290,24 +9492,24 @@ (xy 165.997594 75.353541) (xy 166.088806 75.30088) (xy 166.0932 75.296486) (xy 166.0932 76.129419) (xy 166.166139 76.115784) (xy 166.369176 76.037127) (xy 166.369187 76.037122) (xy 166.55433 75.922485) (xy 166.554331 75.922485) (xy 166.715255 75.775784) (xy 166.74393 75.737812) (xy 166.800038 75.696174) - (xy 166.86975 75.691481) (xy 166.930933 75.725222) (xy 166.94184 75.737809) (xy 166.970774 75.776123) - (xy 167.024438 75.825044) (xy 167.131768 75.922888) (xy 167.131775 75.922892) (xy 167.131776 75.922893) + (xy 166.86975 75.691481) (xy 166.930933 75.725222) (xy 166.94184 75.737809) (xy 166.960712 75.7628) + (xy 166.970773 75.776122) (xy 167.131768 75.922888) (xy 167.131775 75.922892) (xy 167.131776 75.922893) (xy 167.316986 76.03757) (xy 167.316992 76.037573) (xy 167.339864 76.046433) (xy 167.520131 76.11627) (xy 167.734274 76.1563) (xy 167.734276 76.1563) (xy 167.952124 76.1563) (xy 167.952126 76.1563) - (xy 167.960315 76.154769) (xy 168.029828 76.161798) (xy 168.084508 76.205293) (xy 168.106992 76.271446) - (xy 168.090142 76.339254) (xy 168.070784 76.364336) (xy 167.942511 76.492611) (xy 167.912661 76.522461) - (xy 167.831009 76.604112) (xy 167.743409 76.735214) (xy 167.743402 76.735227) (xy 167.683064 76.880898) - (xy 167.683061 76.88091) (xy 167.6523 77.035553) (xy 167.6523 77.333309) (xy 167.632615 77.400348) - (xy 167.599425 77.434883) (xy 167.581401 77.447503) (xy 167.459473 77.569431) (xy 167.39815 77.602915) - (xy 167.328458 77.597931) (xy 167.272525 77.556059) (xy 167.25561 77.525082) (xy 167.206597 77.393671) - (xy 167.206593 77.393664) (xy 167.120347 77.278455) (xy 167.120344 77.278452) (xy 167.005135 77.192206) - (xy 167.005128 77.192202) (xy 166.870282 77.141908) (xy 166.870283 77.141908) (xy 166.810683 77.135501) - (xy 166.810681 77.1355) (xy 166.810673 77.1355) (xy 166.810664 77.1355) (xy 165.014929 77.1355) - (xy 165.014923 77.135501) (xy 164.955316 77.141908) (xy 164.820471 77.192202) (xy 164.820464 77.192206) - (xy 164.705255 77.278452) (xy 164.705252 77.278455) (xy 164.619006 77.393664) (xy 164.619002 77.393671) - (xy 164.568708 77.528517) (xy 164.562301 77.588116) (xy 164.5623 77.588135) (xy 164.5623 79.38387) - (xy 164.0765 79.38387) (xy 164.0765 49.6465) (xy 164.096185 49.579461) (xy 164.148989 49.533706) - (xy 164.2005 49.5225) (xy 194.1935 49.5225) + (xy 168.166269 76.11627) (xy 168.36941 76.037572) (xy 168.410223 76.012302) (xy 168.477583 75.993747) + (xy 168.544283 76.014555) (xy 168.589144 76.06812) (xy 168.5995 76.117729) (xy 168.5995 77.007853) + (xy 168.579815 77.074892) (xy 168.527011 77.120647) (xy 168.464695 77.131381) (xy 168.452805 77.130341) + (xy 168.452799 77.130341) (xy 168.217396 77.150936) (xy 168.217386 77.150938) (xy 167.989144 77.212094) + (xy 167.989135 77.212098) (xy 167.774971 77.311964) (xy 167.774969 77.311965) (xy 167.5814 77.447503) + (xy 167.459473 77.56943) (xy 167.39815 77.602914) (xy 167.328458 77.59793) (xy 167.272525 77.556058) + (xy 167.25561 77.525081) (xy 167.206597 77.393671) (xy 167.206593 77.393664) (xy 167.120347 77.278455) + (xy 167.120344 77.278452) (xy 167.005135 77.192206) (xy 167.005128 77.192202) (xy 166.870282 77.141908) + (xy 166.870283 77.141908) (xy 166.810683 77.135501) (xy 166.810681 77.1355) (xy 166.810673 77.1355) + (xy 166.810664 77.1355) (xy 165.014929 77.1355) (xy 165.014923 77.135501) (xy 164.955316 77.141908) + (xy 164.820471 77.192202) (xy 164.820464 77.192206) (xy 164.705255 77.278452) (xy 164.705252 77.278455) + (xy 164.619006 77.393664) (xy 164.619002 77.393671) (xy 164.568708 77.528517) (xy 164.562301 77.588116) + (xy 164.5623 77.588135) (xy 164.5623 79.38387) (xy 164.0765 79.38387) (xy 164.0765 49.6465) (xy 164.096185 49.579461) + (xy 164.148989 49.533706) (xy 164.2005 49.5225) (xy 194.1935 49.5225) ) ) ) diff --git a/pcbs/bt475-interface/bt475-interface.kicad_pro b/pcbs/bt475-interface/bt475-interface.kicad_pro index 486e893..5ad9900 100644 --- a/pcbs/bt475-interface/bt475-interface.kicad_pro +++ b/pcbs/bt475-interface/bt475-interface.kicad_pro @@ -229,7 +229,11 @@ "equivalence_files": [] }, "erc": { - "erc_exclusions": [], + "erc_exclusions": [ + "pin_to_pin|1549400|1130300|f1ece11b-a229-42d6-b8d9-e548445ebaaf|7fe1f6b7-18a0-435d-ab9c-6f1fedd35fe7|/0464b11d-1301-49ff-b9e8-86e5551d8ba7|/0464b11d-1301-49ff-b9e8-86e5551d8ba7|/0464b11d-1301-49ff-b9e8-86e5551d8ba7", + "pin_to_pin|1549400|1181100|7847301a-d3eb-40f9-961d-afac2d36ac79|7fe1f6b7-18a0-435d-ab9c-6f1fedd35fe7|/0464b11d-1301-49ff-b9e8-86e5551d8ba7|/0464b11d-1301-49ff-b9e8-86e5551d8ba7|/0464b11d-1301-49ff-b9e8-86e5551d8ba7", + "pin_to_pin|1549400|1206500|96865382-31dc-46ad-83a0-c660a9decdc3|7fe1f6b7-18a0-435d-ab9c-6f1fedd35fe7|/0464b11d-1301-49ff-b9e8-86e5551d8ba7|/0464b11d-1301-49ff-b9e8-86e5551d8ba7|/0464b11d-1301-49ff-b9e8-86e5551d8ba7" + ], "meta": { "version": 0 }, diff --git a/pcbs/bt475-interface/bt475-interface.kicad_sch b/pcbs/bt475-interface/bt475-interface.kicad_sch index f35b3b5..a00f85c 100644 --- a/pcbs/bt475-interface/bt475-interface.kicad_sch +++ b/pcbs/bt475-interface/bt475-interface.kicad_sch @@ -2035,6 +2035,142 @@ ) ) ) + (symbol "Regulator_Linear:AMS1117-3.3" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -3.81 3.175 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "AMS1117-3.3" + (at 0 3.175 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" + (at 2.54 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "AMS1117-3.3_0_1" + (rectangle + (start -5.08 -5.08) + (end 5.08 1.905) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "AMS1117-3.3_1_1" + (pin power_in line + (at 0 -7.62 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 7.62 0 180) + (length 2.54) + (name "VO" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -7.62 0 0) + (length 2.54) + (name "VI" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) (symbol "power:+3.3V" (power) (pin_numbers hide) @@ -3884,6 +4020,12 @@ (uuid "7dac623c-bc92-4002-b5d2-6fb3165fe0b7") ) (junction + (at 60.96 157.48) + (diameter 0) + (color 0 0 0 0) + (uuid "8851baf3-d16d-400f-a1db-8d1a81810e77") + ) + (junction (at 152.4 120.65) (diameter 0) (color 0 0 0 0) @@ -3914,6 +4056,12 @@ (uuid "be7ef6a4-0b21-4d8c-9875-c70b944b6e2b") ) (junction + (at 40.64 157.48) + (diameter 0) + (color 0 0 0 0) + (uuid "c3b953af-a736-4e8b-84b5-fb65be53b511") + ) + (junction (at 63.5 80.01) (diameter 0) (color 0 0 0 0) @@ -4037,6 +4185,16 @@ ) (wire (pts + (xy 60.96 154.94) (xy 60.96 157.48) + ) + (stroke + (width 0) + (type default) + ) + (uuid "10af29cd-ae44-4590-989f-3c906c07612f") + ) + (wire + (pts (xy 199.39 85.09) (xy 199.39 115.57) ) (stroke @@ -4617,6 +4775,16 @@ ) (wire (pts + (xy 60.96 157.48) (xy 60.96 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "64c2ee6b-ffb0-4db8-b36d-aa0add71d3aa") + ) + (wire + (pts (xy 25.4 107.95) (xy 25.4 109.22) ) (stroke @@ -4667,6 +4835,16 @@ ) (wire (pts + (xy 40.64 154.94) (xy 40.64 157.48) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6debbcda-393d-4891-ac50-1c62c4ee4664") + ) + (wire + (pts (xy 162.56 40.64) (xy 162.56 44.45) ) (stroke @@ -4707,6 +4885,26 @@ ) (wire (pts + (xy 58.42 157.48) (xy 60.96 157.48) + ) + (stroke + (width 0) + (type default) + ) + (uuid "744527f1-6807-4a81-9aea-d95f1a4d9211") + ) + (wire + (pts + (xy 50.8 165.1) (xy 50.8 170.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "74862d26-2983-4a35-8856-019d05fb15a7") + ) + (wire + (pts (xy 201.93 87.63) (xy 218.44 87.63) ) (stroke @@ -4917,6 +5115,16 @@ ) (wire (pts + (xy 40.64 167.64) (xy 40.64 170.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8eec640d-d76e-4cd1-9394-d35696e22ab4") + ) + (wire + (pts (xy 129.54 53.34) (xy 137.16 53.34) ) (stroke @@ -5347,6 +5555,16 @@ ) (wire (pts + (xy 40.64 157.48) (xy 40.64 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cd93f5b7-b45d-45a3-b9f3-e898cbc9fcb4") + ) + (wire + (pts (xy 152.4 118.11) (xy 152.4 113.03) ) (stroke @@ -5407,6 +5625,16 @@ ) (wire (pts + (xy 60.96 167.64) (xy 60.96 170.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d8fc89a6-7494-4d2f-a58c-ebeca4013a80") + ) + (wire + (pts (xy 63.5 59.69) (xy 63.5 62.23) ) (stroke @@ -5597,6 +5825,16 @@ ) (wire (pts + (xy 40.64 157.48) (xy 43.18 157.48) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e66c97e1-6d41-440c-af97-01c038a5226e") + ) + (wire + (pts (xy 72.39 133.35) (xy 72.39 130.81) ) (stroke @@ -6160,6 +6398,72 @@ ) ) (symbol + (lib_id "power:+5V") + (at 40.64 154.94 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "07294052-0abd-48ee-9a0e-652744f4b2f0") + (property "Reference" "#PWR033" + (at 40.64 158.75 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 40.64 150.8069 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 40.64 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 40.64 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 40.64 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "7f5d015b-1167-4c35-9c6d-ee7dc342ac79") + ) + (instances + (project "bt475-interface" + (path "/0464b11d-1301-49ff-b9e8-86e5551d8ba7" + (reference "#PWR033") + (unit 1) + ) + ) + ) + ) + (symbol (lib_id "power:GND") (at 167.64 139.7 0) (unit 1) @@ -7294,6 +7598,72 @@ ) ) (symbol + (lib_id "power:GND") + (at 60.96 170.18 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5a63c134-0148-46ee-bca1-19379b5535ee") + (property "Reference" "#PWR037" + (at 60.96 176.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 60.96 174.3131 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 60.96 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 60.96 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 60.96 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c48f7303-1d25-4cee-9ef3-ae9081c89bc2") + ) + (instances + (project "bt475-interface" + (path "/0464b11d-1301-49ff-b9e8-86e5551d8ba7" + (reference "#PWR037") + (unit 1) + ) + ) + ) + ) + (symbol (lib_id "power:+3.3V") (at 93.98 127 0) (unit 1) @@ -7506,6 +7876,76 @@ ) ) (symbol + (lib_id "Device:C") + (at 40.64 163.83 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6830cf4e-b6bc-4819-bb1d-73d5ea5f02a5") + (property "Reference" "C6" + (at 43.561 162.6178 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C" + (at 43.561 165.0421 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" + (at 41.6052 167.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 40.64 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 40.64 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e0720930-c68e-4108-981c-c98753490bd8") + ) + (pin "2" + (uuid "968a09e5-25aa-4bfe-af85-55f5c21f4b2c") + ) + (instances + (project "bt475-interface" + (path "/0464b11d-1301-49ff-b9e8-86e5551d8ba7" + (reference "C6") + (unit 1) + ) + ) + ) + ) + (symbol (lib_id "power:GND") (at 90.17 135.89 0) (unit 1) @@ -7572,6 +8012,72 @@ ) ) (symbol + (lib_id "power:+3.3V") + (at 60.96 154.94 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "75299214-fc09-4622-9da5-bf216bc0a3c5") + (property "Reference" "#PWR035" + (at 60.96 158.75 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3.3V" + (at 60.96 150.8069 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 60.96 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 60.96 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3.3V\"" + (at 60.96 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "6771a74f-4d56-491a-8685-8af0938d4574") + ) + (instances + (project "bt475-interface" + (path "/0464b11d-1301-49ff-b9e8-86e5551d8ba7" + (reference "#PWR035") + (unit 1) + ) + ) + ) + ) + (symbol (lib_id "Connector_Generic:Conn_02x13_Odd_Even") (at 71.12 67.31 0) (unit 1) @@ -8288,6 +8794,76 @@ ) ) (symbol + (lib_id "Device:C") + (at 60.96 163.83 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8ee85f30-2ff5-4f46-8395-020eead74df9") + (property "Reference" "C5" + (at 63.881 162.6178 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C" + (at 63.881 165.0421 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" + (at 61.9252 167.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 60.96 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 60.96 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "eabc514e-c91f-4cf0-ba12-2f1f4bf0d2b0") + ) + (pin "2" + (uuid "96166d6b-d2f1-47bb-9d45-6f61ea6a5006") + ) + (instances + (project "bt475-interface" + (path "/0464b11d-1301-49ff-b9e8-86e5551d8ba7" + (reference "C5") + (unit 1) + ) + ) + ) + ) + (symbol (lib_id "power:GND") (at 233.68 97.79 0) (mirror y) @@ -8559,6 +9135,72 @@ ) (symbol (lib_id "power:GND") + (at 50.8 170.18 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b6d0c69d-02e9-4e1f-baf3-9105eb3d8ae0") + (property "Reference" "#PWR034" + (at 50.8 176.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 50.8 174.3131 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 50.8 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 50.8 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 50.8 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b24123db-32f1-464d-84d5-96c028a84095") + ) + (instances + (project "bt475-interface" + (path "/0464b11d-1301-49ff-b9e8-86e5551d8ba7" + (reference "#PWR034") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") (at 44.45 92.71 0) (unit 1) (exclude_from_sim no) @@ -8624,6 +9266,77 @@ ) ) (symbol + (lib_id "Regulator_Linear:AMS1117-3.3") + (at 50.8 157.48 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c1822403-bcc0-4d92-a123-1afc055f8de0") + (property "Reference" "U5" + (at 50.8 151.4305 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "AMS1117-3.3" + (at 50.8 153.8548 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" + (at 50.8 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" + (at 53.34 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223" + (at 50.8 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f8c8d72f-cc79-4b60-9910-7c8886d6f8ca") + ) + (pin "3" + (uuid "7c49c395-9dd4-42d0-9118-4952423744dc") + ) + (pin "2" + (uuid "75594c6e-9c82-4294-a690-6435fac86bf2") + ) + (instances + (project "bt475-interface" + (path "/0464b11d-1301-49ff-b9e8-86e5551d8ba7" + (reference "U5") + (unit 1) + ) + ) + ) + ) + (symbol (lib_id "power:+5V") (at 152.4 40.64 0) (unit 1) @@ -9357,6 +10070,72 @@ ) ) (symbol + (lib_id "power:GND") + (at 40.64 170.18 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ea49704d-c3e2-48d3-9f3a-03319fb35cfd") + (property "Reference" "#PWR036" + (at 40.64 176.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 40.64 174.3131 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 40.64 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 40.64 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 40.64 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "4669d570-53e2-472d-b021-8d3d8eecc669") + ) + (instances + (project "bt475-interface" + (path "/0464b11d-1301-49ff-b9e8-86e5551d8ba7" + (reference "#PWR036") + (unit 1) + ) + ) + ) + ) + (symbol (lib_id "Device:C") (at 44.45 54.61 0) (unit 1) |