aboutsummaryrefslogtreecommitdiffstats
path: root/tests/t_8x30x
diff options
context:
space:
mode:
Diffstat (limited to 'tests/t_8x30x')
-rw-r--r--tests/t_8x30x/asflags0
-rw-r--r--tests/t_8x30x/t_8x30x.asm57
-rw-r--r--tests/t_8x30x/t_8x30x.doc5
-rw-r--r--tests/t_8x30x/t_8x30x.oribin0 -> 80 bytes
4 files changed, 62 insertions, 0 deletions
diff --git a/tests/t_8x30x/asflags b/tests/t_8x30x/asflags
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/tests/t_8x30x/asflags
diff --git a/tests/t_8x30x/t_8x30x.asm b/tests/t_8x30x/t_8x30x.asm
new file mode 100644
index 0000000..9062243
--- /dev/null
+++ b/tests/t_8x30x/t_8x30x.asm
@@ -0,0 +1,57 @@
+ cpu 8x305
+
+leftobj liv $12,3,4
+left2obj liv $12,5,6
+rightobj riv $34,5,6
+right2obj riv $34,3,4
+
+ move r3,ivl ; Register-->Register: ohne Rotation
+ add r11(3),r5 ; mit Rotation
+ and liv1,r1 ; I/O -->Register: direkt, ohne L„nge
+ xor riv3,6,r4 ; direkt, mit L„nge
+ move leftobj,r5 ; symbolisch, ohne L„nge
+ add leftobj,4,r5 ; symbolisch, mit L„nge (redundant)
+ and r2,liv6 ; Register-->I/O direkt, ohne L„nge
+ xor r3,3,riv4 ; direkt, mit L„nge
+ move r11,rightobj ; symbolisch, ohne L„nge
+ add r11,6,rightobj ; symbolisch, mit L„nge (redundant)
+ and liv2,riv4 ; Register-->Register: direkt-->direkt, ohne L„nge
+ xor liv2,5,riv4 ; direkt-->direkt, mit L„nge
+ move riv1,leftobj ; direkt-->symbolisch, ohne L„nge
+ add riv1,4,leftobj ; direkt-->symbolisch, mit L„nge(redundant)
+ and rightobj,liv5 ; symbolisch-->direkt, ohne L„nge
+ xor rightobj,6,liv5 ; symbolisch-->direkt, mit L„nge(redundant)
+ move leftobj,right2obj ; symbolisch-->symbolisch, ohne L„ange
+ add leftobj,4,right2obj ; symbolisch-->symbolisch, mit L„nge(redundant)
+
+ xec $55(r5)
+ xec $12(liv3)
+ xec $12(liv3),6
+ xec $12(leftobj)
+ xec $12(leftobj),4
+
+ nzt r5,*
+ nzt liv3,*
+ nzt liv3,6,*
+ nzt leftobj,*
+ nzt leftobj,4,*
+
+ xmit $34,r5
+ xmit $12,liv3
+ xmit $12,liv3,6
+ xmit $12,leftobj
+ xmit $12,leftobj,4
+
+ sel leftobj
+ sel rightobj
+
+ nop
+
+ halt
+
+ xml 2
+ xmr $0f
+
+temp1 riv @200,7,8
+ sel temp1
+
diff --git a/tests/t_8x30x/t_8x30x.doc b/tests/t_8x30x/t_8x30x.doc
new file mode 100644
index 0000000..06e7536
--- /dev/null
+++ b/tests/t_8x30x/t_8x30x.doc
@@ -0,0 +1,5 @@
++------------------------- Test Application 8X30x ---------------------------+
+| |
+| This is a (synthetic) test of the 8X30x instruction set |
+| |
++----------------------------------------------------------------------------+
diff --git a/tests/t_8x30x/t_8x30x.ori b/tests/t_8x30x/t_8x30x.ori
new file mode 100644
index 0000000..0370a9e
--- /dev/null
+++ b/tests/t_8x30x/t_8x30x.ori
Binary files differ