aboutsummaryrefslogtreecommitdiffstats
path: root/target/linux/ramips/files/drivers/net/ethernet/ralink/ralink_soc_eth.h
diff options
context:
space:
mode:
authorFelix Fietkau <nbd@openwrt.org>2015-01-24 22:45:23 +0000
committerFelix Fietkau <nbd@openwrt.org>2015-01-24 22:45:23 +0000
commit1ff6e9aef80890c44a84230c6f963e37c46684d9 (patch)
tree42af2be278af85710d94bb4ae6c957854ebd4f69 /target/linux/ramips/files/drivers/net/ethernet/ralink/ralink_soc_eth.h
parent2bc783064dfb9ca0b61ce27c4b46e4816ec5e1a8 (diff)
downloadupstream-1ff6e9aef80890c44a84230c6f963e37c46684d9.tar.gz
upstream-1ff6e9aef80890c44a84230c6f963e37c46684d9.tar.bz2
upstream-1ff6e9aef80890c44a84230c6f963e37c46684d9.zip
ralink: init tx/rx vlan offload register for mt7621
on mt7621 don't have tx vlan vid registers. so set FE_REG_FE_DMA_VID_BASE to 0. set rx vlan offload register to disable. Signed-off-by: michael lee <igvtee@gmail.com> git-svn-id: svn://svn.openwrt.org/openwrt/trunk@44117 3c298f89-4303-0410-b956-a3cf2f4a3e73
Diffstat (limited to 'target/linux/ramips/files/drivers/net/ethernet/ralink/ralink_soc_eth.h')
-rw-r--r--target/linux/ramips/files/drivers/net/ethernet/ralink/ralink_soc_eth.h10
1 files changed, 8 insertions, 2 deletions
diff --git a/target/linux/ramips/files/drivers/net/ethernet/ralink/ralink_soc_eth.h b/target/linux/ramips/files/drivers/net/ethernet/ralink/ralink_soc_eth.h
index 9bbd8d4c6a..8433c3cc12 100644
--- a/target/linux/ramips/files/drivers/net/ethernet/ralink/ralink_soc_eth.h
+++ b/target/linux/ramips/files/drivers/net/ethernet/ralink/ralink_soc_eth.h
@@ -304,9 +304,15 @@ enum fe_work_flag {
#define FE_US_CYC_CNT_SHIFT 0x8
#define FE_US_CYC_CNT_DIVISOR 1000000
-#define RX_DMA_PLEN0(_x) (((_x) >> 16) & 0x3fff)
-#define RX_DMA_LSO BIT(30)
+/* rxd2 */
#define RX_DMA_DONE BIT(31)
+#define RX_DMA_LSO BIT(30)
+#define RX_DMA_PLEN0(_x) (((_x) >> 16) & 0x3fff)
+#define RX_DMA_TAG BIT(15)
+/* rxd3 */
+#define RX_DMA_TPID(_x) (((_x) >> 16) & 0xffff)
+#define RX_DMA_VID(_x) ((_x) & 0xffff)
+/* rxd4 */
#define RX_DMA_L4VALID BIT(30)
struct fe_rx_dma {