aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/prefix.sv
blob: 2d7fbb13447ccfa0440d65ca90f7818857917b12 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
module top;
    genvar i, j;
    if (1) begin : blk1
        integer a = 1;
        for (i = 0; i < 2; i = i + 1) begin : blk2
            integer b = i;
            for (j = 0; j < 2; j = j + 1) begin : blk3
                integer c = j;
                localparam x = i;
                localparam y = j;
                always @* begin
                    assert (1 == a);
                    assert (1 == blk1.a);
                    assert (1 == top.blk1.a);
                    assert (i == b);
                    assert (i == blk2[i].b);
                    assert (i == blk1.blk2[i].b);
                    assert (i == top.blk1.blk2[i].b);
                    assert (i == blk2[x].b);
                    assert (i == blk1.blk2[x].b);
                    assert (i == top.blk1.blk2[x].b);
                    assert (j == c);
                    assert (j == blk3[j].c);
                    assert (j == blk2[x].blk3[j].c);
                    assert (j == blk1.blk2[x].blk3[j].c);
                    assert (j == top.blk1.blk2[x].blk3[j].c);
                    assert (j == c);
                    assert (j == blk3[y].c);
                    assert (j == blk2[x].blk3[y].c);
                    assert (j == blk1.blk2[x].blk3[y].c);
                    assert (j == top.blk1.blk2[x].blk3[y].c);
                    assert (j == top.blk1.blk2[x].blk3[y].c[0]);
                    assert (0 == top.blk1.blk2[x].blk3[y].c[1]);
                    assert (0 == top.blk1.blk2[x].blk3[y].c[j]);
                end
            end
            always @* begin
                assert (1 == a);
                assert (1 == blk1.a);
                assert (1 == top.blk1.a);
                assert (i == b);
                assert (i == blk2[i].b);
                assert (i == blk1.blk2[i].b);
                assert (i == top.blk1.blk2[i].b);
                assert (0 == blk3[0].c);
                assert (0 == blk2[i].blk3[0].c);
                assert (0 == blk1.blk2[i].blk3[0].c);
                assert (0 == top.blk1.blk2[i].blk3[0].c);
                assert (1 == blk3[1].c);
                assert (1 == blk2[i].blk3[1].c);
                assert (1 == blk1.blk2[i].blk3[1].c);
                assert (1 == top.blk1.blk2[i].blk3[1].c);
            end
        end
        always @* begin
            assert (1 == a);
            assert (1 == blk1.a);
            assert (1 == top.blk1.a);
            assert (0 == blk2[0].b);
            assert (0 == blk1.blk2[0].b);
            assert (0 == top.blk1.blk2[0].b);
            assert (1 == blk2[1].b);
            assert (1 == blk1.blk2[1].b);
            assert (1 == top.blk1.blk2[1].b);
            assert (0 == blk2[0].blk3[0].c);
            assert (0 == blk1.blk2[0].blk3[0].c);
            assert (0 == top.blk1.blk2[0].blk3[0].c);
            assert (1 == blk2[0].blk3[1].c);
            assert (1 == blk1.blk2[0].blk3[1].c);
            assert (1 == top.blk1.blk2[0].blk3[1].c);
            assert (0 == blk2[1].blk3[0].c);
            assert (0 == blk1.blk2[1].blk3[0].c);
            assert (0 == top.blk1.blk2[1].blk3[0].c);
            assert (1 == blk2[1].blk3[1].c);
            assert (1 == blk1.blk2[1].blk3[1].c);
            assert (1 == top.blk1.blk2[1].blk3[1].c);
        end
    end
    always @* begin
        assert (1 == blk1.a);
        assert (1 == top.blk1.a);
        assert (0 == blk1.blk2[0].b);
        assert (0 == top.blk1.blk2[0].b);
        assert (1 == blk1.blk2[1].b);
        assert (1 == top.blk1.blk2[1].b);
        assert (0 == blk1.blk2[0].blk3[0].c);
        assert (0 == top.blk1.blk2[0].blk3[0].c);
        assert (1 == blk1.blk2[0].blk3[1].c);
        assert (1 == top.blk1.blk2[0].blk3[1].c);
        assert (0 == blk1.blk2[1].blk3[0].c);
        assert (0 == top.blk1.blk2[1].blk3[0].c);
        assert (1 == blk1.blk2[1].blk3[1].c);
        assert (1 == top.blk1.blk2[1].blk3[1].c);
    end
endmodule