aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/genblk_case.v
blob: 081fb09d33e0b7d03c06c8fc15bb5230f1f31c9d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
module top;
	parameter YES = 1;
	generate
		if (YES) wire y;
		else wire n;

		if (!YES) wire n;
		else wire y;

		case (YES)
			1: wire y;
			0: wire n;
		endcase

		case (!YES)
			0: wire y;
			1: wire n;
		endcase

		if (YES) wire y;
		else wire n;

		if (!YES) wire n;
		else wire y;
	endgenerate
endmodule