aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verific/range_case.sv
blob: 9843feafe3388c326e0243357c48b7f56ce7a209 (plain)
1
2
3
4
5
6
7
8
9
10
11
module top(input clk, input signed [3:0] sel_w , output reg out);

always @ (posedge clk)
begin
    case (sel_w) inside
        [-4:3] : out <= 1'b1;
        [4:5] : out <= 1'b0;
    endcase
end

endmodule