aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/sub.v
blob: 63422ca5ccb0eb7c3666b7df837ac03a84156a65 (plain)
1
2
3
module sub #(parameter d=1) (input in, output out);
    assign out = in;
endmodule