aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/dynamic_part_select/nonblocking.v
blob: 20f857cf971d4a87055d0898007be8f520eb00fe (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
`default_nettype none
module nonblocking #(parameter WIDTH=32, SELW=1, CTRLW=$clog2(WIDTH), DINW=2**SELW)
   (input wire             clk,
    input wire [CTRLW-1:0] ctrl,
    input wire [DINW-1:0]  din,
    input wire [SELW-1:0]  sel,
    output reg [WIDTH-1:0] dout);
   
   localparam SLICE = WIDTH/(SELW**2);
   always @(posedge clk) begin
      dout <= dout + 1;
      dout[ctrl*sel+:SLICE] <= din ;
   end
   
endmodule