aboutsummaryrefslogtreecommitdiffstats
path: root/tests/techmap/mem_simple_4x1_runtest.sh
blob: 5b5838b9da4cf570b74f652a6cac571bb7a864e1 (plain)
1
2
3
#!/bin/bash

exec ../tools/autotest.sh -G -j $@ -p 'proc; opt; memory -nomap; techmap -map ../mem_simple_4x1_map.v;; techmap; opt; abc;; stat' mem_simple_4x1_uut.v