aboutsummaryrefslogtreecommitdiffstats
path: root/tests/techmap
ModeNameSize
-rw-r--r--.gitignore18logstatsplain
-rw-r--r--abc9.ys1420logstatsplain
-rw-r--r--adff2dff.ys240logstatsplain
-rw-r--r--aigmap.ys161logstatsplain
-rw-r--r--autopurge.ys1047logstatsplain
-rw-r--r--bmuxmap_pmux.ys664logstatsplain
-rw-r--r--bug2183.ys152logstatsplain
-rw-r--r--bug2321.ys223logstatsplain
-rw-r--r--bug2332.ys177logstatsplain
-rw-r--r--bug2759.ys226logstatsplain
-rw-r--r--bug2972.ys355logstatsplain
-rw-r--r--cellname.ys781logstatsplain
-rw-r--r--clkbufmap.ys7432logstatsplain
-rw-r--r--cmp2lcu.ys1547logstatsplain
-rw-r--r--dff2ff.ys181logstatsplain
-rw-r--r--dffinit.ys334logstatsplain
-rw-r--r--dfflegalize_adff.ys4229logstatsplain
-rw-r--r--dfflegalize_adff_init.ys12233logstatsplain
-rw-r--r--dfflegalize_adlatch.ys1515logstatsplain
-rw-r--r--dfflegalize_adlatch_init.ys3385logstatsplain
-rw-r--r--dfflegalize_aldff.ys3013logstatsplain
-rw-r--r--dfflegalize_aldff_init.ys5130logstatsplain
-rw-r--r--dfflegalize_dff.ys12146logstatsplain
-rw-r--r--dfflegalize_dff_init.ys31699logstatsplain
-rw-r--r--dfflegalize_dffsr.ys2949logstatsplain
-rw-r--r--dfflegalize_dffsr_init.ys15797logstatsplain
-rw-r--r--dfflegalize_dlatch.ys1589logstatsplain
-rw-r--r--dfflegalize_dlatch_const.ys1573logstatsplain
-rw-r--r--dfflegalize_dlatch_init.ys3328logstatsplain
-rw-r--r--dfflegalize_dlatchsr.ys1090logstatsplain
-rw-r--r--dfflegalize_dlatchsr_init.ys5119logstatsplain
-rw-r--r--dfflegalize_inv.ys8129logstatsplain
-rw-r--r--dfflegalize_mince.ys2454logstatsplain
-rw-r--r--dfflegalize_minsrst.ys1855logstatsplain
-rw-r--r--dfflegalize_sr.ys1861logstatsplain
-rw-r--r--dfflegalize_sr_init.ys7765logstatsplain
-rw-r--r--dfflibmap-sim.v329logstatsplain
-rw-r--r--dfflibmap.lib972logstatsplain
-rw-r--r--dfflibmap.ys1603logstatsplain
-rw-r--r--dffunmap.ys3631logstatsplain
-rw-r--r--extractinv.ys984logstatsplain
-rw-r--r--iopadmap.ys4914logstatsplain
-rw-r--r--mem_simple_4x1_cells.v284logstatsplain
-rw-r--r--mem_simple_4x1_map.v3761logstatsplain
-rw-r--r--mem_simple_4x1_runtest.sh164logstatsplain
-rw-r--r--mem_simple_4x1_tb.v394logstatsplain
-rw-r--r--mem_simple_4x1_uut.v276logstatsplain
-rw-r--r--pmux2mux.ys258logstatsplain
-rw-r--r--recursive.v75logstatsplain
-rw-r--r--recursive_map.v66logstatsplain
-rw-r--r--recursive_runtest.sh172logstatsplain
-rwxr-xr-xrun-test.sh134logstatsplain
-rw-r--r--shiftx2mux.ys1998logstatsplain
-rw-r--r--techmap_replace.ys696logstatsplain
-rw-r--r--wireinit.ys2588logstatsplain
-rw-r--r--zinit.ys6636logstatsplain