aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svtypes/typedef_package.sv
blob: 2d83742c58e592bc5e2af917a20fe0f4bcb0b300 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
package pkg;
	typedef logic [7:0] uint8_t;
	typedef enum logic [7:0] {bb=8'hBB, cc=8'hCC} enum8_t;

	localparam uint8_t PCONST = cc;
	parameter uint8_t PCONST_COPY = PCONST;
endpackage

module top;

	(* keep *) pkg::uint8_t a = 8'hAA;
	(* keep *) pkg::enum8_t b_enum = pkg::bb;

	always_comb assert(a == 8'hAA);
	always_comb assert(b_enum == 8'hBB);
	always_comb assert(pkg::PCONST == pkg::cc);
	always_comb assert(pkg::PCONST_COPY == pkg::cc);

endmodule