aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svtypes/logic_rom.sv
blob: 45fe0a4cae14a24b84e08657dd356ee51d5002ae (plain)
1
2
3
4
5
6
module top(input [3:0] addr, output [7:0] data);
    logic [7:0] mem[0:15];
    assign data = mem[addr];
    integer i;
    initial for(i = 0; i < 16; i = i + 1) mem[i] = i;
endmodule