aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/sva_value_change_rose.sv
blob: d1c5290f1a8257ab0446f5aa978397f5695bc7d2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
module top (
	input clk,
	input a, b
);
	default clocking @(posedge clk); endclocking

    wire a_copy;
    assign a_copy = a;

	assert property (
		$rose(a) |-> b
	);

`ifndef FAIL
	assume property (
		$rose(a_copy) |-> b
	);
`endif

endmodule