aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/sva_value_change_changed_wide.sv
blob: c9147c4f3595397fc0d32cf473cce69cadab21a8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
module top (
	input clk,
	input [2:0] a,
	input [2:0] b
);
	default clocking @(posedge clk); endclocking

	assert property (
		$changed(a)
	);

    assert property (
        $changed(b) == ($changed(b[0]) || $changed(b[1]) || $changed(b[2]))
    );

`ifndef FAIL
	assume property (
		a !== 'x ##1 $changed(a)
	);
`endif

endmodule