aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/sva_value_change_changed.sv
blob: 8f3a05a2f2e243cd361637372d64f2ea1aac6fa3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
module top (
	input clk,
	input a, b
);
	default clocking @(posedge clk); endclocking

	assert property (
		$changed(b)
	);

`ifndef FAIL
	assume property (
		b !== 'x ##1 $changed(b)
	);
`endif

endmodule