aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/nested_clk_else.sv
blob: 4421cb36ab5bb516f28c4ab524dcbbf755311b17 (plain)
1
2
3
4
5
6
7
8
9
10
11
module top (input clk, a, b);
	always @(posedge clk) begin
        if (a);
        else assume property (@(posedge clk) b);
	end

`ifndef FAIL
    assume property (@(posedge clk) !a);
`endif
    assert property (@(posedge clk) b);
endmodule