aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/basic03.sv
blob: a15f3f3a4d148501d71afd1079b3cb13a55c69ed (plain)
1
2
3
4
5
6
7
8
9
10
module top (input logic clk, input logic selA, selB, QA, QB, output logic Q);
	always @(posedge clk) begin
		if (selA) Q <= QA;
		if (selB) Q <= QB;
	end

	check_selA: assert property ( @(posedge clk) selA|=> Q == $past(QA) );
	check_selB: assert property ( @(posedge clk) selB|=> Q == $past(QB) );
	assume_not_11: assume property ( @(posedge clk) !(selA& selB) );
endmodule