aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/verilog_primitives.v
blob: 0ee07393bc81c6480829bd55824a99360518e7b3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
module verilog_primitives (
	input wire in1, in2, in3,
	output wire out_buf0, out_buf1, out_buf2, out_buf3, out_buf4,
	output wire out_not0, out_not1, out_not2,
	output wire out_xnor
);

buf u_buf0 (out_buf0, in1);
buf u_buf1 (out_buf1, out_buf2, out_buf3, out_buf4, in2);

not u_not0 (out_not0, out_not1, out_not2, in1);

xnor u_xnor0 (out_xnor, in1, in2, in3);

endmodule