aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/named_genblk.v
blob: b98b7c8ceed295fa9562177e504b457c100d4e5c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
`default_nettype none
module named_genblk_top;
	generate
		if (1) begin
			wire t;
			begin : foo
				wire x;
			end
			wire u;
		end
		begin : bar
			wire x;
			wire y;
			begin : baz
				wire x;
				wire z;
			end
		end
	endgenerate
	assign genblk1.t = 1;
	assign genblk1.foo.x = 1;
	assign genblk1.u = 1;
	assign bar.x = 1;
	assign bar.y = 1;
	assign bar.baz.x = 1;
	assign bar.baz.z = 1;
endmodule