aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/module_scope_case.v
blob: 1472b6912a2298f1c04df17d01144cb6c1bf7309 (plain)
1
2
3
4
5
6
7
8
9
10
11
module top(
	input wire x,
	output reg y
);
	always @* begin
		case (top.x)
			1: top.y = 0;
			0: top.y = 1;
		endcase
	end
endmodule