aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/module_scope_case.v
blob: bceba4424e169ea3b377d43a1cacea92eb0bd516 (plain)
1
2
3
4
5
6
7
8
9
10
11
module module_scope_case_top(
	input wire x,
	output reg y
);
	always @* begin
		case (module_scope_case_top.x)
			1: module_scope_case_top.y = 0;
			0: module_scope_case_top.y = 1;
		endcase
	end
endmodule