aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/memwr_port_connection.sv
blob: 5bf414e081c3a366260e36e4f7f14e1ce0034124 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
module producer(
    output logic [3:0] out
);
    assign out = 4'hA;
endmodule

module top(
    output logic [3:0] out
);
    logic [3:0] v[0:0];
    producer p(v[0]);
    assign out = v[0];
endmodule