aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/loop_prefix_case.v
blob: 0cfa005477cf0fb50331d1f02791c746ded73e6e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
module loop_prefix_case_top(
	input wire x,
	output reg y
);
	localparam I = 1;
	genvar i;
	generate
		for (i = 0; i < 1; i = i + 1) begin : blk
			wire [i:i] z = x;
		end
	endgenerate
	always @* begin
		case (blk[I - 1].z)
			1: y = 0;
			0: y = 1;
		endcase
	end
endmodule