aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/local_loop_var.sv
blob: 42860e21856990f0a88d2b39aaf87e4f0b1a5a22 (plain)
1
2
3
4
5
6
7
8
9
10
11
module local_loop_top(out);
	output integer out;
	initial begin
		integer i;
		for (i = 0; i < 5; i = i + 1)
			if (i == 0)
				out = 1;
			else
				out += 2 ** i;
	end
endmodule