aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/local_loop_var.sv
blob: 46b4e5c229fa4e6d92ce375291b086189990c189 (plain)
1
2
3
4
5
6
7
8
9
10
11
module top(out);
	output integer out;
	initial begin
		integer i;
		for (i = 0; i < 5; i = i + 1)
			if (i == 0)
				out = 1;
			else
				out += 2 ** i;
	end
endmodule