aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/genblk_port_shadow.v
blob: a04631a209f69e1c8e18fb17e2c81321746e1804 (plain)
1
2
3
4
5
6
7
8
9
10
module top(x);
	generate
		if (1) begin : blk
			wire x;
			assign x = 0;
		end
	endgenerate
	output wire x;
	assign x = blk.x;
endmodule