aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/genblk_port_shadow.v
blob: c1348632c2a1003e7895aaafb46f7de35c205c3d (plain)
1
2
3
4
5
6
7
8
9
10
module genblock_port_shadow_top(x);
	generate
		if (1) begin : blk
			wire x;
			assign x = 0;
		end
	endgenerate
	output wire x;
	assign x = blk.x;
endmodule