aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/genblk_order.v
blob: c80c1ac1ac0121bbaa936191d825b4a3fa0e1c3e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
`default_nettype none
module genblk_order_top(
	output wire out1,
	output wire out2
);
	generate
		if (1) begin : outer
			if (1) begin : foo
				wire x = 0;
				if (1) begin : foo
					wire x = 1;
					assign out1 = foo.x;
				end
				assign out2 = foo.x;
			end
		end
	endgenerate
endmodule