aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/dynslice.v
blob: 7236ac3a59511218cd1dce5e426efebd93ea811e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
module dynslice (
    input clk ,
    input [9:0] ctrl ,
    input [15:0] din ,
    input [3:0] sel ,
    output reg [127:0] dout
);
always @(posedge clk)
begin
    dout[ctrl*sel+:16] <= din ;
end
endmodule