aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/case_expr_extend.sv
blob: 61bd14df1368bca0939e1465c00b70f80b9775cb (plain)
1
2
3
4
5
6
7
8
9
10
11
module top(
    output logic [5:0] out
);
always_comb begin
    out = '0;
    case (1'b1 << 1)
        2'b10: out = '1;
        default: out = '0;
    endcase
end
endmodule