aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/case_expr_extend.sv
blob: d4ca2aa9b93cfec1b053ddbbf8148b6ac4aca22d (plain)
1
2
3
4
5
6
7
8
9
10
11
module top(
    output logic [5:0] out
);
initial begin
    out = '0;
    case (1'b1 << 1)
        2'b10: out = '1;
        default: out = '0;
    endcase
end
endmodule