aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/attrib08_mod_inst.v
blob: 759e67c7bb020978e59529a4dd288cb7afd23699 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
module attrib08_bar(clk, rst, inp, out);
  input  wire clk;
  input  wire rst;
  input  wire inp;
  output reg  out;

  always @(posedge clk)
    if (rst) out <= 1'd0;
    else     out <= ~inp;

endmodule

module attrib08_foo(clk, rst, inp, out);
  input  wire clk;
  input  wire rst;
  input  wire inp;
  output wire out;

  (* my_module_instance = 99 *)
  attrib08_bar bar_instance (clk, rst, inp, out);
endmodule