aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/attrib01_module.v
blob: d6e36fb80240ca61991b7210abab7a006e20df05 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
module attrib01_bar(clk, rst, inp, out);
  input  wire clk;
  input  wire rst;
  input  wire inp;
  output reg  out;

  always @(posedge clk)
    if (rst) out <= 1'd0;
    else     out <= ~inp;

endmodule

module attrib01_foo(clk, rst, inp, out);
  input  wire clk;
  input  wire rst;
  input  wire inp;
  output wire out;

  attrib01_bar bar_instance (clk, rst, inp, out);
endmodule