aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sim/dlatch.v
blob: 315b4321677c8e1ceb74213e19536d5f5f645a08 (plain)
1
2
3
4
5
6
module dlatch( input d, en, output reg q );
	always @* begin
		if ( en )
			q = d;
	end
endmodule