aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sim/dffsr.v
blob: 2158708f171e6011ac184b9139d3362471936c82 (plain)
1
2
3
4
5
6
7
8
9
module dffsr( input clk, d, clr, set, output reg q );
	always @( posedge clk, posedge set, posedge clr)
		if ( clr )
			q <= 0;
		else if (set)
			q <= 1;
		else
			q <= d;
endmodule