aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sim/dffe.v
blob: 853fcf66abcb70e7cd90af7fbd4ea006d485b6c5 (plain)
1
2
3
4
5
module dffe( input clk, en, d, output reg q );
	always @( posedge clk )
		if ( en )
			q <= d;
endmodule