aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sim/dff.v
blob: ce792b59a0c4461d51202a836069c0bc206abb11 (plain)
1
2
3
4
module dff( input d, clk, output reg q );
	always @( posedge clk )
		q <= d;
endmodule