aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sim/aldffe.v
blob: 79c65afc49af49a226643d204dff137f8f503d84 (plain)
1
2
3
4
5
6
7
8
module aldffe( input [0:3] d, input [0:3] ad, input clk, aload, en, output reg [0:3] q );
	always @( posedge clk, posedge aload)
		if (aload)
			q <= ad;
		else
			if (en)
				q <= d;
endmodule