aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sim/aldff.v
blob: eeb0f06739d138fa343dcaa6bd2dab1db9da3d3c (plain)
1
2
3
4
5
6
7
module aldff( input [0:3] d, input [0:3] ad, input clk, aload, output reg [0:3] q );
	always @( posedge clk, posedge aload)
		if (aload)
			q <= ad;
		else
			q <= d;
endmodule