aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sim/adlatch.v
blob: 5e8f48e49188db0145561f5c7f0901f82631701c (plain)
1
2
3
4
5
6
7
8
module adlatch( input d, rst, en, output reg q );
	always @* begin
		if (rst)
			q = 0;
		else if (en)
			q = d;
	end
endmodule