aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sim/adff.v
blob: 8c8fb0acf5a0994ad0055b51c1fc01d98299061f (plain)
1
2
3
4
5
6
7
module adff( input d, clk, rst, output reg q );
	always @( posedge clk, posedge rst )
		if (rst)
			q <= 0;
		else
			q <= d;
endmodule