aboutsummaryrefslogtreecommitdiffstats
path: root/tests/memories/wide_read_trans.v
blob: fe3293500504d35c3cad111a88272ae895f3ed85 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
// expect-wr-ports 1
// expect-rd-ports 4
// expect-rd-wide-continuation 4'1110

module test(
	input clk,
	input re,
	input we,
	input [5:0] ra,
	input [7:0] wa,
	input [7:0] wd,
	output reg [31:0] rd
);

reg [7:0] mem[0:255];

always @(posedge clk) begin
	if (re) begin
		rd[7:0] <= mem[{ra, 2'b00}];
		rd[15:8] <= mem[{ra, 2'b01}];
		rd[23:16] <= mem[{ra, 2'b10}];
		rd[31:24] <= mem[{ra, 2'b11}];
		if (we && wa == {ra, 2'b00})
			rd [7:0] <= wd;
		if (we && wa == {ra, 2'b01})
			rd [15:8] <= wd;
		if (we && wa == {ra, 2'b10})
			rd [23:16] <= wd;
		if (we && wa == {ra, 2'b11})
			rd [31:24] <= wd;
	end
end

always @(posedge clk) begin
	if (we)
		mem[wa] <= wd;
end

endmodule