aboutsummaryrefslogtreecommitdiffstats
path: root/tests/ecp5/logic.v
blob: e5343cae08447263d00639bc95337ae6d8a6aed7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
module top
(
 input [0:7] in,
 output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10
 );

   assign     B1 =  in[0] & in[1];
   assign     B2 =  in[0] | in[1];
   assign     B3 =  in[0] ~& in[1];
   assign     B4 =  in[0] ~| in[1];
   assign     B5 =  in[0] ^ in[1];
   assign     B6 =  in[0] ~^ in[1];
   assign     B7 =  ~in[0];
   assign     B8 =  in[0];
   assign     B9 =  in[0:1] && in [2:3];
   assign     B10 =  in[0:1] || in [2:3];

endmodule