aboutsummaryrefslogtreecommitdiffstats
path: root/tests/ecp5/latches.v
blob: 9dc43e4c2c2aa32d81eaa3eaa2debcffde511cd3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
module latchp
    ( input d, clk, en, output reg q );
	always @*
		if ( en )
			q <= d;
endmodule

module latchn
    ( input d, clk, en, output reg q );
	always @*
		if ( !en )
			q <= d;
endmodule

module latchsr
    ( input d, clk, en, clr, pre, output reg q );
	always @*
		if ( clr )
			q <= 1'b0;
		else if ( pre )
			q <= 1'b1;
		else if ( en )
			q <= d;
endmodule


module top (
input clk,
input clr,
input pre,
input a,
output b,b1,b2
);


latchp u_latchp (
        .en (clk ),
        .d (a ),
        .q (b )
    );


latchn u_latchn (
        .en (clk ),
        .d (a ),
        .q (b1 )
    );


latchsr u_latchsr (
        .en (clk ),
        .clr (clr),
        .pre (pre),
        .d (a ),
        .q (b2 )
    );

endmodule