aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/common/dffs.v
blob: 636252d16374d7d36ee8ce84ff66c2c7981475b0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
module dff ( input d, clk, output reg q );
	  always @( posedge clk )
        q <= d;
endmodule

module dffe( input d, clk, en, output reg q );
    initial begin
        q = 0;
    end
	  always @( posedge clk )
        if ( en )
              q <= d;
endmodule