aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/common/add_sub.v
blob: 77e5f57457c5e02d64c32ef9be3638c233f696f3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
module top
(
    input [3:0] x,
    input [3:0] y,

    output [3:0] A,
    output [3:0] B
);

    assign A =  x + y;
    assign B =  x - y;
endmodule