aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/common/dff2ff.v
blob: 33a79ffffab2e7349d1fc6c74fcccc0a1b59178d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
(* techmap_celltype = "$dff" *)
module dff2ff (CLK, D, Q);
	parameter WIDTH = 1;
	parameter CLK_POLARITY = 1;

	input CLK;
	(* force_downto *)
	input [WIDTH-1:0] D;
	(* force_downto *)
	output reg [WIDTH-1:0] Q;

	wire [1023:0] _TECHMAP_DO_ = "proc;;";

	always @($global_clock)
		Q <= D;
endmodule